電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>汽車電子>凱迪拉克新技術(shù)能夠跟交通燈“講話” 避免司機闖紅燈

凱迪拉克新技術(shù)能夠跟交通燈“講話” 避免司機闖紅燈

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴

評論

查看更多

相關(guān)推薦

51單片機簡單IO實驗交通燈控制資料分享

一.實驗要求 以74LS273作為輸出口,控制4個雙色LED(可發(fā)紅,綠,黃光),模擬交通燈管理。 二.實驗目的 1.學習在單片機系統(tǒng)中擴展簡單I/O接口的方法。 2.學習數(shù)據(jù)輸出程序的設(shè)計方法
2021-05-14 06:51:56

交通燈

本帖最后由 eehome 于 2013-1-5 09:52 編輯 數(shù)字邏輯電路下的交通燈。
2012-07-08 20:13:21

交通燈

本帖最后由 sushu 于 2013-4-14 22:02 編輯 請各位盡量發(fā)個截圖,于人于己都有利(By:sushu)交通燈
2012-12-02 12:19:28

交通燈

2、正常3、夜間4、調(diào)時5、緊急(東西南北禁止) 6、東西通行,南北禁止 7、東西通行,南北禁止三、按鍵模塊 進行交通燈的控制,遇特殊情況能對交通燈進行控制。1、復位。當特殊情況之后,恢復至正常狀況
2013-05-06 21:53:44

交通燈

交通燈=====看看
2016-06-24 16:47:14

交通燈

C語言交通燈~~~~
2013-08-05 14:53:55

交通燈

bbs.elecfans.com交通燈
2014-03-08 18:58:30

交通燈

以前做的交通燈
2013-08-17 22:44:50

交通燈

關(guān)于交通燈的資料
2013-11-21 22:52:43

交通燈

自寫的交通燈大家共同學習一下
2012-09-10 22:11:32

交通燈labview的實現(xiàn)

交通燈labview的實現(xiàn)
2013-05-16 22:22:32

交通燈verilog

FPGA交通燈verilog
2013-06-11 14:09:01

交通燈——求大神指導

求大神指導關(guān)于MAX7219驅(qū)動模塊的程序如何編寫設(shè)計遙控交通燈控制系統(tǒng),系統(tǒng)能夠實現(xiàn)交通指示燈的功能,通過數(shù)碼管指示剩余時間,其相關(guān)信息同時在LCD上顯示,且兼有時鐘日歷功能。裝置可通過遙控器實現(xiàn)
2017-03-26 12:05:33

交通燈仿真

本帖最后由 eehome 于 2013-1-5 09:52 編輯 交通燈
2012-11-29 16:16:06

交通燈仿真

本帖最后由 eehome 于 2013-1-5 09:54 編輯 交通燈仿真
2012-11-23 18:36:18

交通燈仿真

不錯的交通燈仿真,與大家分享
2016-07-10 17:18:26

交通燈控制電路設(shè)計

請問如何使用Vhdl進行交通燈控制電路設(shè)計
2022-03-07 08:20:21

交通燈控制系統(tǒng)模擬設(shè)計

的:1)放行:綠燈亮15秒放行,然后黃燈亮5秒,紅燈亮禁止。2)禁止:紅燈亮20秒禁止,然后綠燈亮放行。3)兩條線路交替進行,實現(xiàn)定時交通控制。2、擴展部分要求1)交通燈變化時,同時用數(shù)值控件進行20秒
2015-06-06 22:05:23

交通燈控制設(shè)計求幫忙啊

上地址范圍要包含0140H~017FH1、東西南北,每個路口有紅黃綠燈(注:東西可以公用一組,南北可以公用一組),交通燈亮滅規(guī)則為:先南北的紅燈亮,東西綠燈亮,持續(xù)20s;四個路口黃燈亮2s;南北的綠燈
2016-12-12 11:20:14

交通燈控制邏輯設(shè)計

交通燈控制邏輯設(shè)計n 1、紅、綠、黃發(fā)光二極管作信號燈,用傳感器或邏輯開關(guān)作檢測車輛是否到來的信號,實驗電路用邏輯開關(guān)代替。n 2、主干道處于常允許通行的狀態(tài),支干道有車來時才允許通行。主干道亮綠
2017-09-15 10:25:06

交通燈模擬系統(tǒng)

大家快來看看這設(shè)計的交通燈系統(tǒng)
2015-09-12 21:42:14

交通燈求助

交通燈紅燈和綠燈時間控制.紅燈和綠燈的時間不同分別是20s和25s.
2012-12-10 21:16:13

交通燈電路設(shè)計圖交通燈怎樣才能隨時間變化?

求大佬看看這個電路圖為什么最后的交通燈不會變化,還有如何修改計數(shù)器的時間
2021-05-06 16:54:43

交通燈的小作業(yè)

本帖最后由 eehome 于 2013-1-5 10:11 編輯 這片內(nèi)容是我自己做的比較簡單的基于FPGA交通燈
2012-11-22 22:36:21

交通燈設(shè)計

交通燈設(shè)計論文資料
2013-08-22 09:57:21

交通燈設(shè)計

怎么設(shè)計交通燈設(shè)計
2013-12-26 19:45:50

交通燈設(shè)計 _VHDL(程序見附件)

持續(xù)時間為5s。交通燈上電以后進入工作狀態(tài)S0,主干道上綠燈亮允許通行,支干道上紅燈亮禁止通行,持續(xù)45S后轉(zhuǎn)狀態(tài)S1,主干道綠燈滅轉(zhuǎn)黃燈亮,支干道仍然紅燈,5S后轉(zhuǎn)狀態(tài)S2,主干道上紅燈亮,支干道上綠燈亮
2018-07-03 04:20:07

交通燈該如何焊接?

交通燈如何焊接
2019-04-03 07:56:08

VHDL交通燈

指揮。以下就是運用數(shù)字電子設(shè)計出的交通燈:其中紅燈亮,表示該條路禁止通行;黃燈亮表示停車;綠燈亮表示允許通行。一﹑設(shè)計任務(wù)   &nbsp
2008-12-01 16:45:16

fpga/cpld設(shè)計的交通燈(完整版含程序)

設(shè)計要求:設(shè)計一個十字路口兩道路的交通燈控制器,兩道路交替通行,每次通行時間可設(shè)定20秒。該十字路***通控制電路能使南北、東西各四個(紅、黃、綠、左轉(zhuǎn)),四個能夠按順序依次亮滅。而且要求綠燈
2012-01-09 11:11:31

labview交通燈

本帖最后由 eehome 于 2013-1-5 10:06 編輯 交通燈
2012-12-05 19:26:14

labview交通燈怎樣加DAQ

用labview設(shè)計交通燈,在仿真完后怎樣把程序和NI ELVIS那個面包板連接。怎樣在程序中加DAQ。。使交通燈程序運行時,那面包板上的紅綠燈也跟著亮。。。。求高手指點。。謝謝
2013-05-16 13:23:39

multisim 交通燈仿真

交通燈仿真實驗
2014-07-23 11:01:43

proteus仿真交通燈設(shè)計(一)

本帖最后由 ptest1234 于 2016-9-20 18:03 編輯 /*名稱:LED模擬交通燈 說明:東西向綠燈亮若干秒,黃燈閃爍 5 次后紅燈亮, 紅燈亮后,南北向由紅燈變?yōu)榫G燈,若干
2016-09-18 18:52:11

【OK210申請】智慧交通燈

申請理由:研究生自費參加競賽,經(jīng)費缺乏,需要開發(fā)板做linux嵌入式開發(fā),做智慧交通燈項目。項目描述:智慧交通燈能夠根據(jù)車流量的多少,自動調(diào)節(jié)交通燈時間;同時還帶有聲音識別,如消防車與醫(yī)療車發(fā)出來的警報,然后調(diào)節(jié)交通燈時間,讓特定車輛盡快通過路口。
2015-06-25 18:04:02

【labview課程設(shè)計】基于labview的交通燈模擬系統(tǒng)

繼續(xù)通行;紅燈亮時,禁止車輛通行。設(shè)計目的:設(shè)計這款交通燈模擬系統(tǒng)可以真實直觀的反映出十字路口紅綠燈亮滅情況,有利于駕駛員學習相關(guān)的交通規(guī)則,連接上相應(yīng)的硬件設(shè)備還可以作為一款十字路口的交通燈控制系統(tǒng)
2011-12-31 11:17:20

【分享】交通燈電路和程序

交通燈電路和程序
2015-07-21 16:18:04

一個人自學Labview 制作的交通燈

交通燈有南北東西四個方向。紅燈亮35秒,綠燈亮30秒,黃燈亮5秒。南北的紅燈亮,東西就必須亮綠燈或者黃燈。東西的紅燈亮,南北就必須亮綠燈或者黃燈。如是依次循環(huán)。
2017-05-01 12:34:30

三個關(guān)于LED模擬交通燈單片機C語言程序設(shè)計

描述本文為大家?guī)砣齻€關(guān)于LED模擬交通燈單片機C語言程序設(shè)計。關(guān)于LED模擬交通燈單片機C語言程序設(shè)計一功能說明東西向綠燈亮若干秒,黃燈閃爍5次后紅燈亮,紅燈亮后,南北向由紅燈變?yōu)榫G燈,若干秒后
2021-07-15 09:00:38

上海交通燈仿真(基于Multisim)

本帖最后由 efans_c575a5 于 2020-1-31 19:57 編輯 仿真一下我家門口的交通燈:綠燈常亮20秒后開始閃爍,10秒后熄滅。然后黃燈亮起,2秒后黃燈熄滅,紅燈亮起。紅燈常亮22秒后開始閃爍。10秒時紅燈熄滅,綠燈亮起。
2020-01-31 19:56:25

上海交通燈仿真(改進版)

`應(yīng)網(wǎng)友要求,在原有基礎(chǔ)上進行了改進,增加了倒計時顯示。我家門口的交通燈:綠燈常亮20秒后開始閃爍,10秒后熄滅。然后黃燈亮起,2秒后黃燈熄滅,紅燈亮起。32秒后紅燈熄滅,綠燈亮起。如此循環(huán)往復。`
2020-02-01 13:48:40

仿真交通燈

仿真交通燈PCB打樣找華強 http://www.hqpcb.com/3 樣板2天出貨
2012-09-06 16:19:56

六路環(huán)形交通燈

可不可以幫我做一個六路環(huán)形交通燈 只要紅綠燈 不需要顯示然后三個路口帶流量監(jiān)控 車流量大綠燈時間就長六個路口三個路口同時通行,繞圓盤繞一圈然后開出,比十字路口簡單,車流量用紅外模擬,要求紅燈最后五秒閃爍。 加我qq ***有償
2016-04-06 17:54:18

關(guān)于Verilog hdl 簡易交通燈設(shè)計

本人菜鳥一枚,想請教大家,在用Verilog hdl編程關(guān)于簡易交通燈時候,如何設(shè)置閃爍?
2016-11-19 10:47:18

利用proteus和keil仿真簡易交通燈的方法

簡易模擬交通燈1、proteus仿真圖2、要求東西向綠燈亮10秒,黃燈閃爍3次后紅燈亮, 紅燈亮后,南北向由紅燈變?yōu)榫G燈,15秒后南北向黃燈閃爍3次后變紅燈,東西向變綠燈,如此重復。3、代碼
2022-01-17 08:34:24

單片機控制交通燈

單片機控制交通燈單片機控制交通燈
2013-05-05 18:10:34

基于51單片機C語言的交通燈

題目:交通燈控制電路設(shè)計與實現(xiàn)要求完成的主要任務(wù):在南北(上下)、東西(左右)方向道路的十字路口分別設(shè)置三色,控制交通。(1) 基本功能l 南北和東西方向道路綠、黃、紅燈亮的時間分別為60秒、50
2015-05-07 10:04:02

基于51單片機的交通燈

基于51單片機的交通燈 可以實現(xiàn)交通燈的控制時間 緊急停止按鈕等。。。。本人畢業(yè)設(shè)計的成功過哦附帶程序
2013-07-18 18:38:42

基于51單片機的交通燈設(shè)計

51單片機-交通燈-紅綠燈1. 視頻bilibili視頻地址:2. 文件文件下載地址:3. 說明綠燈亮10秒;黃燈亮5秒;紅燈亮10秒4. 代碼#include ***it DULA = P2^6
2021-11-09 07:15:46

基于EWB軟件的交通燈控制器仿真

基于EWB軟件的交通燈控制器仿真一、實驗目的1. 掌握EWB5.0的基本操作方法。2. 熟悉各種常用MSI時序邏輯電路功能和使用方法;掌握多片MSI時序邏輯電路級聯(lián)和功能擴展技術(shù);學會MSI數(shù)字電路
2009-10-11 08:50:56

基于Elvis的交通燈設(shè)計(labview編程)

基于Elvis的交通燈設(shè)計運行時錯誤提示如下圖,請教如何解決。
2015-11-04 17:02:36

基于FPGA技術(shù)交通燈控制系統(tǒng)設(shè)計

本帖最后由 eehome 于 2013-1-5 09:45 編輯 基于FPGA技術(shù)交通燈控制系統(tǒng)設(shè)計
2012-08-19 23:19:17

基于labview的交通燈設(shè)計

`求用labview做十字路口的交通燈要有倒計時能改時間能手動控制交通燈 類似于這個`
2015-06-01 10:00:09

基于單片機的交通燈控制系統(tǒng)設(shè)計

系統(tǒng)要求:可用紅、黃、綠三種顏色發(fā)光二極管和兩位LED數(shù)碼管模擬交通燈的變化規(guī)律:1. 首先,東西路口紅燈亮,同時開始60秒倒計時;2. 南北路口“直行”綠燈亮,同時開始40秒倒計時,南北人行道綠燈
2018-07-04 17:23:44

基于單片機的交通燈設(shè)計

單片機交通燈課程設(shè)計單片機交通燈設(shè)計(含箭頭指示)大家好,我是Alex,這篇文章是我做課程設(shè)計總結(jié)后想拿出來大家分享。我在完成交通燈的基本顯示后,我對任務(wù)提出了更高的要求,增加了交通燈箭頭顯示
2021-12-02 06:57:08

基于單片機的交通燈設(shè)計

,倒計時剩5秒時黃燈閃爍警示(交通燈信號通過PA口輸出,顯示時間直接通過8255的PC口輸出至雙位數(shù)碼管);車輛闖紅燈報警;綠燈時間可檢測車流量并可通過雙位數(shù)碼管顯示。本系統(tǒng)實用性強、操作簡單、擴展功能強。[hide][/hide]
2009-11-26 16:47:17

基于單片機的智能交通燈

通過8051芯片的P1口設(shè)置紅、綠燈燃亮時間的功能;紅綠燈循環(huán)點亮,倒計時剩5秒時黃燈閃爍警示(交通燈信號通過PA口輸出,顯示時間直接通過8255的PC口輸出至雙位數(shù)碼管);車輛闖紅燈報警;綠燈時間可
2020-09-24 08:47:41

基于紅外熱釋電傳感器的智能交通勸導系統(tǒng)設(shè)計

正在橫穿馬路,可進行語音勸告并警示。  文中介紹的智能交通勸導系統(tǒng)能夠自動檢測交通燈的信號狀態(tài)及行人的運動狀態(tài),判定行人是否闖紅燈并采用語音輔助行人過馬路,人性化地提醒人們遵守交通規(guī)則。該系統(tǒng)能做
2018-11-05 15:45:12

大神看看那里出了問題啊,紅綠黃交通燈行為的Verilog模塊

;//交通燈控制時序 always begin red=on;//開紅燈 light(red,red_tics); //調(diào)用等待任務(wù) green=on;//開綠燈 light(green
2014-08-04 11:31:53

如何去實現(xiàn)一種交通燈電路的設(shè)計呢

交通燈電路實現(xiàn)的功能有哪些?如何去實現(xiàn)一種交通燈電路的設(shè)計呢?
2021-11-02 09:25:42

如何去實現(xiàn)一種交通燈系統(tǒng)設(shè)計

設(shè)計要求(1)利用51單片機設(shè)計一個交通燈控制系統(tǒng),來實現(xiàn)行人與車流的分流的目的。該系統(tǒng)主要應(yīng)用在十字路口,縱向為主干道,橫向為支干道;(2)主、支干道交替通行,兩個干道上的交通燈工作方式同時進行
2021-11-09 08:00:51

如何去實現(xiàn)一種簡易交通燈設(shè)計

;具體要求如下:①每條道路設(shè)一組交通燈,每組信號燈有紅,黃,綠3個組成,綠燈表示允許通過,紅燈表示禁止通行,黃燈表示該車道上已過停車線的車輛繼續(xù)通行,未過停車線的車輛停止通行。②主干道通行40秒,南北通行時間為20秒。③每次變換通行車道之前,要求黃燈先亮5秒,才能變換通行車道。二、系統(tǒng)設(shè)計方
2021-12-13 07:29:07

如何設(shè)計交通燈

設(shè)計交通燈實現(xiàn)如現(xiàn)實交通燈的功能,及用數(shù)碼管顯示紅黃綠燈的倒計時讀秒。
2019-10-10 03:32:42

學習了兩天labview,寫了個特別簡單的交通燈程序

學習了兩天labview,感覺不能只看書,還要自己動手寫點什么。就想寫一個交通燈的程序,自己想了想也就能寫這么一個簡單的程序讓大家看看。該交通燈帶有轉(zhuǎn)向的交通燈,首先綠燈亮10s,黃燈2s,紅燈
2013-07-24 14:23:59

微機原理與接口技術(shù)交通燈課程設(shè)計

微機原理與接口技術(shù)交通燈課程設(shè)計,一、方案設(shè)計1. 設(shè)計內(nèi)容本題模擬場景為十字路***通信號燈及路口公共時鐘,通過Proteus8仿真平臺進行模擬。南北路口方向和東西路口方向均設(shè)有紅、黃、綠三種狀態(tài)
2021-07-22 07:57:09

怎樣去設(shè)計一種交通燈電路

交通燈電路設(shè)計一、交通燈電路實現(xiàn)功能二、總體方案三、單元電路設(shè)計3.1狀態(tài)轉(zhuǎn)換電路3.2秒發(fā)生器3.3計時電路3.4數(shù)碼管顯示3.5復位四、總體電路圖五、分析總結(jié)六、心得體會七、未來工作一、交通燈
2021-07-29 09:34:45

怎樣設(shè)置交通燈 求程序

怎樣設(shè)置交通燈求程序
2015-06-10 10:28:19

急求一個交通燈的源程序——————萬分感謝

vhdl交通燈設(shè)計,輸入1khz的頻率。紅燈綠燈分別亮20s,黃燈亮5s對應(yīng)的倒計時輸出至數(shù)碼管。按下復位之后,均是紅燈,之后東西綠燈,南北紅燈,開始計時。黃燈亮時,要閃爍。
2013-06-11 10:04:02

探究交通燈基于虛擬儀器技術(shù)labview的交通燈設(shè)計序言范文.doc

探究交通燈基于虛擬儀器技術(shù)labview的交通燈設(shè)計序言范文.doc
2015-06-16 18:13:13

智能交通新趨勢-400萬高清一體化電警 闖紅燈 卡口 測速

匯聚了多個方向的交通流量,加上等待紅燈的時間損失、機非混行等因素,成為城市路網(wǎng)中交通擁堵發(fā)生的重點地段。而車輛闖紅燈,逆行,超速等違法現(xiàn)象,更是成為引發(fā)道路交通事故的主要誘因之一,為此而造成的各種慘具
2010-06-12 23:15:43

智能交通燈設(shè)計資料

智能交通燈設(shè)計 Pretus仿真 keil編程
2013-09-16 16:07:05

有關(guān)ATM48的交通燈

求問大神,怎么實現(xiàn)ATME48的交通燈系統(tǒng),要求有倒計時顯示,而且能夠改變倒計時{:11:}{:11:}{:11:}
2014-07-03 17:06:12

模擬交通燈

模擬交通燈 仿真
2013-04-21 22:49:58

模擬交通燈控制的實現(xiàn)方法是什么

實驗目的:學習模擬交通燈控制的實現(xiàn)方法;掌握Proteus硬件仿真與調(diào)試。任務(wù):根據(jù)要求編寫程序,并寫出原理性注釋;將檢查程序運行的結(jié)果,分析一下是否正確;完成所建工程的仿真及調(diào)試。實驗內(nèi)容按照電路
2021-07-14 08:00:55

模擬交通燈的簡單設(shè)計

這是一個簡單的模擬城市交通燈程序設(shè)計!
2014-04-26 11:11:38

交通燈multisim仿真

`求交通燈multisim仿真`
2015-07-22 12:07:34

交通燈控制的程序

25 s,然后黃燈亮5 s,東西方向紅燈亮30 s. 當有急救車到達時,路口的信號燈全部變紅,以便讓急救車通過。假定急救車通過時間為10 s,急救車過后,交通燈恢復先前狀態(tài)。
2014-12-01 20:48:42

交通燈程序

求一個交通燈的設(shè)計,電路圖+程序。謝謝各位大神
2012-12-24 22:33:50

求助 關(guān)于fpga交通燈串口

本人是剛?cè)腴T的,做了一個交通燈,有車流檢測,想通過串口,實現(xiàn)PC對交通燈狀態(tài)的控制,以及讀取交通燈狀態(tài)、車流檢測數(shù)量,用的是TTL,直連引腳,不懂得怎么做,求高人指點
2016-05-28 23:57:10

求助關(guān)于交通燈

本人在交通燈中想加一個違章檢測,就是在紅燈時路口加一個檢測的(想用紅外方式,遮擋檢測)得用什么器件?ST188可以么?
2012-05-09 01:20:57

求基于LABview的交通燈設(shè)計

求基于LABview的交通燈設(shè)計十字路口的 顯示倒計時能手動控制交通燈在前置面板能改時間
2015-05-31 22:39:21

匯編語言實現(xiàn)簡易交通燈的設(shè)計資料

運用單片機的定時器及外部中斷系統(tǒng),設(shè)計具有應(yīng)急通行功能的簡易交通燈應(yīng)用功能:交通燈運行狀態(tài)有六種:按照簡單的交通路口規(guī)則,正常情況有四種狀態(tài)不停輪換:狀態(tài)1:南北綠燈亮,東西紅燈亮:狀態(tài)2:南北黃燈
2021-12-06 08:19:06

渣土車管理難?車主:深夜闖紅燈已成習慣!

“自從開大貨車以來,在深夜已經(jīng)形成習慣了,只要路口沒人沒車根本不管是紅燈還是綠燈,自我覺得安全就開車過去了?!边@是渣土車司機親口說的!這樣“想當然”的闖紅燈的案例,不是個案例而已,電視新聞媒體時有
2018-07-13 12:52:24

用于Calliope Mini的Calliopapel交通燈

描述Calliopapel交通燈,用于Calliope Mini改進版的明亮交通燈。改進的Fu?使鱷魚夾更好地保持對稱的交通燈邊緣。
2022-09-09 07:54:59

用單片機設(shè)計交通燈

時間由led點陣屏顯示ab十字路口,a為主道,b為支道,用發(fā)光二極管模擬交通燈(綠行,紅停,黃閃)ab輪流放行,a50s,b30s,5s用于警告提醒(黃閃)。交通忙時,有手控開關(guān)控制。在b放行
2014-03-31 13:07:10

電子警察,網(wǎng)警相機AG-400IC闖紅燈抓拍系統(tǒng)

內(nèi)嵌64MB高速緩存,可對連續(xù)闖違法行為進行高速連續(xù)抓拍,避免了連續(xù)違章闖紅燈行為的漏拍。以AG-400IC高清一體抓拍機構(gòu)建的闖紅燈抓拍系統(tǒng)與傳統(tǒng)視頻電子警察系統(tǒng)相比,能夠提供更清晰的違法圖片
2009-08-21 16:39:09

簡易交通燈

簡易交通燈
2009-11-16 16:02:33

簡易交通燈

簡易交通燈,有人能幫忙完善嗎?
2013-12-06 12:19:04

簡易交通燈電路設(shè)計

交通信號燈電路的設(shè)計一、實驗目的:1、了解循環(huán)碼節(jié)拍分配器的工作原理、設(shè)計方法及應(yīng)用。2、實現(xiàn)簡易交通燈電路的設(shè)計3、學會用Multisim2001設(shè)計簡易交通燈電路二、實驗要求:設(shè)計一個循環(huán)
2015-06-20 19:25:35

超級形象交通燈

很形象的交通燈
2014-06-09 19:23:29

激光虛擬信號墻如何有效避免闖紅燈?你還敢闖紅燈嗎?

不能制止闖紅燈行為的紅綠燈不是一堵好墻!我們從小就被教育: “紅燈停,綠燈行”, 然鵝在現(xiàn)實生活中, 卻不是每個人都能做的到。。。
2018-09-09 10:52:099223

已全部加載完成