電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>汽車電子>北汽的出租車換電方案和蔚來的方案第三次嘗試 這一次勝算幾何呢?

北汽的出租車換電方案和蔚來的方案第三次嘗試 這一次勝算幾何呢?

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴

評論

查看更多

相關(guān)推薦

基于FPGA的出租車計費系統(tǒng)設(shè)計

本文基于FPGA,設(shè)計了一種出租車的計費系統(tǒng),它可以直觀地顯示出租車行駛的里程和乘客應(yīng)付的費用。
2011-10-18 16:35:104669

一種出租車計費器設(shè)計方案

介紹了采用EDA技術(shù)的層次化設(shè)計方法設(shè)計出租車計費器的方法。無需添加外圍電路,更新功能僅需修改軟件。
2011-12-07 16:53:353658

通用汽車旗下Cruise又有大動作,出租車無人化還有多遠?

年以來,國內(nèi)的百度也在全國多地正式啟動全自動無人駕駛出租車的商業(yè)化運營,包括深圳、北京和長沙等地。自此,繼線上打車出現(xiàn)之后,出租車產(chǎn)業(yè)又將迎來一次巨大的變革,這一次自動駕駛算法要和司機師傅開始搶飯碗了。 Robotaxi發(fā)展如火如荼 根據(jù)
2022-09-15 07:41:001127

出租車有無客的顯示屏

出租車有無客的顯示屏(包含控件)
2015-09-02 10:44:49

出租車行駛里程計數(shù)

proteus仿真單片機實驗實訓(xùn)仿真文件 出租車行駛里程計數(shù)
2016-10-22 10:59:33

出租車計價器系統(tǒng)設(shè)計

們帶來了出行的享受。但是總存在買賣糾紛困擾著行業(yè)的發(fā)展。然而解決這一矛盾的最好方法就是改良出租車的計價器,用更加精良的計價器為乘客提供更加方便快捷的服務(wù)?;趩纹瑱C系統(tǒng)設(shè)計的出租車計價器是以單片機作為
2012-05-21 13:26:10

出租車計價器設(shè)計的原理圖和相關(guān)文檔

出租車計價器的文檔,挺有用的
2016-07-12 22:58:19

出租車計費系統(tǒng)

誰有出租車的計費系統(tǒng)或著套件呀!急需呀!
2013-10-22 17:39:07

出租車計費系統(tǒng)畢業(yè)設(shè)計求高人指點

鄙人畢業(yè)設(shè)計選的是基于FPGA的出租車計費系統(tǒng)的設(shè)計,但是現(xiàn)在遇到了些問題,時間又比較緊迫,目前還沒有完成。如各位大神有相關(guān)方面的資料或信息,能否支援下!不勝感激,郵箱372406340@qq.com.謝謝大神,救人命勝造七級浮屠?。?/div>
2014-05-11 23:18:29

出租車計費系統(tǒng)設(shè)計

出租車計費系統(tǒng)設(shè)計,才有外部時鐘方式。
2014-02-28 10:13:53

C++畢業(yè)設(shè)計出租車計價器

C++畢業(yè)設(shè)計出租車計價器.doc
2017-08-05 22:04:54

FRDM-KL25Z第三次講解文檔(串口0)

本帖最后由 niejing 于 2015-3-26 22:36 編輯 大家好 附件里是第三次課串口0的工程文件,希望對大家有所幫助。
2015-03-26 22:36:42

For循環(huán)中,每循環(huán)一次輸出個值

”第一次循環(huán)的值。第二個元素為1,其實為“0+1”第二循環(huán)的值。第三個元素為3,其實為“0+1+2”第三次循環(huán)的值......以此類推。請問怎么做這個程序?
2015-03-12 22:13:36

stn3k出租車電路圖(桑塔納3000型轎車)

stn3k出租車電路圖(桑塔納3000型轎車) 
2008-08-04 00:23:25

【FPGA設(shè)計實例】出租車計價器VHDL程序

出租車計價器VHDL程序--文件名:taxi.hd --功能:出租車計價器利用FPGA輕松實現(xiàn)出租車計價器功能 程序仿真圖注:1. 仿真圖中秒跟分的關(guān)系為3進制,即w為2時就歸0; 2. 出租車
2012-03-05 14:51:36

為什么三次2541芯片刷寫相同程序差別那么大?

TI 的員工們你們好,最近購買了三次2541的芯片,為了對比在同塊板上刷寫相同程序;前兩的芯片信號強度差不多,距離無障礙正對情況下大約8米;第三次的芯片信號強度明顯強了不少,無障礙正對情況可以達
2019-09-29 14:48:14

書求個基于multisim的出租車計費器的電路圖!

書求個基于multisim的出租車計費器的電路圖!
2016-12-29 16:58:15

使用ARM對ADIS16480進行SPI數(shù)據(jù)讀取時,需要發(fā)送三次讀取指令才能讀取到數(shù)據(jù)是為什么?

使用ARM對ADIS16480進行SPI數(shù)據(jù)讀取時,需要發(fā)送三次讀取指令,第三次才能讀到第一次發(fā)送讀取數(shù)據(jù)指令所讀到的數(shù)據(jù),請問有人知道這個是什么地方配置出錯還是什么別的原因
2023-12-28 07:26:45

單片機出租車計費器實驗步驟

單片機出租車計費器、實驗?zāi)康亩?、實驗?nèi)容、實驗步驟四、C代碼如下五、實驗結(jié)果六、實驗體會、實驗?zāi)康亩?、實驗?nèi)容、實驗步驟四、C代碼如下五、實驗結(jié)果六、實驗體會...
2021-12-06 06:40:34

脈沖四采樣問題

對單脈沖四采樣,第一次采樣的時間是當脈沖到來時就采樣,第二采樣的時間是當?shù)诙?b class="flag-6" style="color: red">次脈沖到來時要延時個時鐘周期,第三次采樣的時間是第三個脈沖到來時要延時兩個時鐘周期,第四采樣就是延時個時鐘周期。 下面的問題是如何解決延時問題?最好能提供程序。謝謝!
2011-10-08 15:46:09

在IAP升級STM芯片的時候,怎么去分辨下一次發(fā)送的bin文件里面的芯片是否比這一次的版本高?

在IAP升級STM芯片的時候,怎么去分辨下一次發(fā)送的bin文件里面的芯片是否比這一次的版本高?是判斷序列號?怎么判斷的?{:4:}急求解答
2018-04-19 17:13:15

基于8086和Proteus仿真的出租車計價器設(shè)計

`有沒有基于8086(匯編語言)和Proteus的出租車計價器的設(shè)計資料啊`
2021-01-03 14:24:57

基于FPGA出租車計價系統(tǒng)的設(shè)計實現(xiàn)的技術(shù)框架是什么

我想知道基于FPGA出租車計價系統(tǒng)實現(xiàn)的技術(shù)框架是什么?
2016-04-26 10:36:46

基于FPGA出租車計費器設(shè)計報告(verilog)

基于FPGA出租車計費器設(shè)計報告(verilog)
2013-10-11 18:49:11

基于FPGA的出租車計費器設(shè)計

基于FPGA的出租車計費器設(shè)計,自己做的,仿真不是很好,但作為參考不錯。。。
2013-06-02 13:55:59

基于Multisim的出租車計價器仿真

題目要求:1)設(shè)計個簡易出租車計價器,按出租車行駛的里程數(shù)進行計費。2)具有里程顯示(2 位)、計費顯示(3 位,帶 1 位小數(shù))、里程單價顯示(2 位,帶 1 位小數(shù))等功能。3)起步價按 7
2020-01-15 19:10:31

基于Proteus的單片機出租車計價器的設(shè)計

本文以出租車模擬計價器設(shè)計的實例,詳細介紹了Proteus的特點、使用方法以及Proteus在單片機系統(tǒng)硬、軟件開發(fā)與仿真的應(yīng)用,它能有效提高工作效率,對于單片機應(yīng)用系統(tǒng)、電子電路的開發(fā)和教學(xué)等都有較大的實用價值。整個設(shè)計過程表明了該軟件在實際系統(tǒng)開發(fā)中的有效性和實用性。
2011-03-03 17:40:07

基于VHDL的出租車計費系統(tǒng)設(shè)計

基于VHDL語言的出租車計費的設(shè)計
2015-04-26 17:52:01

基于multisim設(shè)計的出租車計價器

本帖最后由 qion1994 于 2015-11-29 17:00 編輯 有沒有人設(shè)計過基于multisim的出租車計價器,帶延時計價的,如果有的話能否發(fā)我份?我這里有個電路圖,可是仿真的時候,價格那里總是有問題,還有延時那塊也沒有反應(yīng),有沒有大神可以幫我看看。謝謝了~
2015-11-29 16:42:34

基于單片機的出租車計價器的設(shè)計相關(guān)資料下載

段時間,做了個關(guān)于基于單片機的出租車計價器的設(shè)計資料下載地址:
2022-02-23 06:52:14

基于單片機的多功能出租車計價器相關(guān)資料推薦

的脈沖信號在計價器里折算成定的計價營運里程。目前市場上出租車計價器功能主要有具有數(shù)據(jù)的復(fù)位功能、白天/晚上轉(zhuǎn)換功能、數(shù)據(jù)輸出功能、計時計價功能等等,但能夠進行語音播報數(shù)據(jù)信息的出租車計價器還是比較少見的,針對這一點我們設(shè)計款多功能出租車計價器,在原有功能的基礎(chǔ)上增加單價輸出、單價調(diào)整、路程輸出、顯示
2021-11-09 06:16:31

多功能出租車計價器

   本出租車計價器功能包括數(shù)據(jù)的復(fù)位、白天/晚上轉(zhuǎn)換、數(shù)據(jù)輸出、計時計價、單價輸出及調(diào)整、路程輸出、語音播報數(shù)據(jù)信息、實現(xiàn)在系統(tǒng)掉電的時候保存單價和系統(tǒng)時間等
2013-10-24 19:08:44

多功能出租車計價器設(shè)計資料

多功能出租車計價器設(shè)計資料
2012-08-20 11:20:40

多功能出租車計價器設(shè)計資料

多功能出租車計價器設(shè)計資料
2013-04-17 20:44:31

多功能出租車計費

多功能出租車計費
2013-10-31 10:01:09

多功能出租車計費器的相關(guān)資料推薦

單片機出租車計費器、實驗?zāi)康亩嶒瀮?nèi)容、實驗步驟四、C代碼如下五、實驗結(jié)果六、實驗體會、實驗?zāi)康奈覈鞘邪l(fā)展日新月異,交通工具也越來越多樣化。我國出租汽車行業(yè)因而迅猛發(fā)展,出租汽車已經(jīng)成為
2021-11-25 06:20:14

大牛幫忙看怪異問題:某些按鍵第三次按鍵會出錯

一次寫51程序,芯片是STC89C52RC, 運行基本正常 就是按鍵控制很怪異,4個按鍵第一次按都對,第3個按鍵(K3),無論按幾次 顯示都對,,第2個按鍵,第4個按鍵(K2, K4)第三次必定
2013-08-13 12:59:27

如何利用FPGA設(shè)計出租車計價器系統(tǒng)?

設(shè)計電子系統(tǒng),具有設(shè)計周期短、易于修改等明顯特點,特別適合于進行科學(xué)實驗、樣機研制和電子產(chǎn)品的小批量生產(chǎn)。針對FPGA器件,用EDA工具軟件Max+P1usⅡ,設(shè)計了出租車的計價器,它可以以十進制數(shù)的形式,直觀地顯示出租車行駛的里程和乘客應(yīng)付的費用,具有定的實際應(yīng)用價值。
2019-08-15 06:31:56

如何實現(xiàn)出租車里程計時系統(tǒng)設(shè)計?

如何實現(xiàn)出租車里程計時系統(tǒng)設(shè)計?
2021-10-27 07:17:30

如何用51單片機設(shè)計出租車計價器

最近接到個項目,是位出租車計價器設(shè)計套軟硬件系統(tǒng)我用51單片機設(shè)計了方案,效果還不錯,歡迎大家共同留言學(xué)習(xí),討論廢話不多說,先上實物圖AD設(shè)計原理圖設(shè)計資料出租車計價器元件清單元件名稱
2021-12-13 06:08:15

如何用EDA工具軟件Max+***Ⅱ設(shè)計出租車的計價器?

本文針對FPGA器件,用EDA工具軟件Max+***Ⅱ,設(shè)計了出租車的計價器,它可以以十進制數(shù)的形式,直觀地顯示出租車行駛的里程和乘客應(yīng)付的費用,具有定的實際應(yīng)用價值。
2021-05-08 08:07:30

如何用Quartur II 軟件設(shè)計出租車計價器

求告訴如何用Quartur II 軟件設(shè)計出租車計價器
2016-05-11 00:29:31

如何設(shè)置按鍵掃描一次 ,二 ,三次 區(qū)分開

如何設(shè)置按鍵掃描一次 ,二 ,三次 區(qū)分開,謝謝提供參考,
2015-11-19 13:55:33

如何設(shè)計個基于51單片機的模擬出租車計價器

在合肥工業(yè)大學(xué)就讀期間本人在專業(yè)課程任務(wù)中設(shè)計編寫了個基于51單片機的模擬出租車計價器。
2021-11-10 08:52:54

當我第一次聽說TI 物聯(lián)網(wǎng)研討會時,其實我是拒絕的......

當我第一次聽說“TI 物聯(lián)網(wǎng)新產(chǎn)品發(fā)表暨應(yīng)用技術(shù)研討會”的時候,其實我是拒絕的。我跟主辦方說,我拒絕,是因為我們工程師項目多、工作忙、沒時間參加。主辦方跟我說,這這會議專業(yè)又精彩,不參加肯定吃虧
2015-03-13 10:43:10

怎么實現(xiàn)基于51單片機的出租車計價器設(shè)計?

怎么實現(xiàn)基于51單片機的出租車計價器設(shè)計?
2021-10-11 06:29:27

怎樣去設(shè)計種51單片機的出租車智能計價系統(tǒng)

出租車計價器設(shè)計是由哪些模塊組成的?怎樣去設(shè)計種51單片機的出租車智能計價系統(tǒng)?
2022-02-28 08:43:06

怎樣去設(shè)計種基于單片機的出租車計價器

本設(shè)計是基于單片機的出租車計價器,主要實現(xiàn)以下功能:時間顯示,且可設(shè)置時間收費標準顯示功能以及乘車速度、公里數(shù)等信息顯示功能語音提示乘客“歡迎光臨”、“歡迎下次乘坐”以及播報本次乘車信息功能可存十
2021-11-26 08:29:02

無助呀幫小弟把(數(shù)課設(shè))出租車計價器的設(shè)計與實現(xiàn)

1 技術(shù)要求:1)設(shè)計出租車計價器。要求用4位數(shù)碼管顯示行駛里程、停車時間和計費值,采用按鍵切換顯示物理量。2)出租車的起步價為3元,當里程行駛到1km后,費用為4元;當里程行駛到1.5km后
2013-07-01 01:42:38

無助呀,幫小弟把(數(shù)課設(shè))出租車計價器的設(shè)計與...

1、技術(shù)要求:1)設(shè)計出租車計價器。要求用4位數(shù)碼管顯示行駛里程、停車時間和計費值,采用按鍵切換顯示物理量。2)出租車的起步價為3元,當里程行駛到1km后,費用為4元;當里程行駛到1.5km后
2013-07-01 01:38:11

個單片機設(shè)計的出租車計價器設(shè)計的完整電路圖

個單片機設(shè)計的出租車計價器設(shè)計的完整電路圖,雖然才3積分,但這已經(jīng)是我全部身家了,拜托
2015-09-20 19:57:25

個基于FPGA出租車計價系統(tǒng)設(shè)計的用Verilog HDL語言編寫的代碼

最好能能預(yù)置出租車起步價,超過起步價每公里收費及暫停等待停止不同狀態(tài)下里程時間加費功能。謝謝啦
2016-03-20 12:30:08

個基于proteus的出租車計費系統(tǒng)的仿真電路及源代碼。

個基于proteus的出租車計費系統(tǒng)的仿真電路及源代碼。(本科畢業(yè)設(shè)計求參考),謝謝各位大神了。功能完善點的最好啦!
2017-04-12 21:59:19

個數(shù)出租車計費器的multisim源文件

個數(shù)出租車計費器的multisim源文件,謝謝大家了,我急著用!在線等,拜托大神了!
2015-06-13 21:28:02

求助:基于AVR的出租車計費系統(tǒng) 的電路圖

、主要任務(wù)與目標:本文主要是設(shè)計個基于單片機的出租車自動計費系統(tǒng)。該系統(tǒng)有復(fù)位電路對單片機進行初始化操作,通過復(fù)位電路還可以重新開始。顯示起步價,里程收費,等待時間收費,可通過按鍵調(diào)整價格;該系
2012-02-23 17:02:51

求助:基于單片機的出租車計價器的設(shè)計材料(完整的更...

求助:急需基于單片機的出租車計價器的設(shè)計材料(完整的更好)
2013-04-10 10:05:10

求數(shù)字電路出租車計價器multisim文件,電路圖也行

求數(shù)字電路出租車計價器multisim文件,電路圖也行
2014-12-29 17:12:20

電子設(shè)計大賽-智能出租車

本帖最后由 eehome 于 2013-1-5 09:49 編輯 電子設(shè)計大賽-智能出租車
2012-08-16 15:46:18

石油遠程《數(shù)控技術(shù)》???????第三次在線作業(yè)資料分享

第三次在線作業(yè)單選題 (共40道題)收起1.(2.5分) 長光柵在數(shù)控機床中的作用是() A、測工作臺位移B、限位C、測主軸電機轉(zhuǎn)角D、測主軸轉(zhuǎn)速我的答案:A此題得分:2.5分2.(2.5分
2021-06-28 07:37:31

請幫我看看出租車計價器畢業(yè)設(shè)計

請幫我看看出租車計價器畢業(yè)設(shè)計,QQ:2451489850
2013-05-10 21:31:13

請教大神如何去設(shè)計出租車計費器系統(tǒng)?

本文介紹了種以Altera公司可編程邏輯器件EP1K30TC144-3為控制核心,附加定外圍電路組成的出租車計費器系統(tǒng)。
2021-04-30 06:06:05

桑塔納3000型轎車出租車電路圖

stn3k出租車電路圖,桑塔納3000型轎車出租車電路圖
2008-08-04 00:19:08203

出租車計價器VHDL程序與仿真

出租車計價器VHDL程序與仿真 --文件名:taxi.hd。--功能:出租車計價器。--最后修
2008-06-27 10:49:292231

出租車計價器VHDL程序

程序設(shè)計與仿真。1. 出租車計價器VHDL程序--文件名:taxi.hd --功能:出租車計價器 --最后修改日期:2004.4.9
2008-06-27 10:51:311798

出租車計價器設(shè)計與制作

出租車計價器設(shè)計與制作     設(shè)計并制作一臺出租車計價器。調(diào)試時采用10Hz方波信號模擬,每個方波代表10m?;疽螅?/div>
2009-05-31 15:41:176847

基于FPGA的出租車計費系統(tǒng)的設(shè)計與實現(xiàn)

提出了在QuartusII 9.0 軟件平臺上,基于FPGA的出租車計費器的設(shè)計方案。通過VHDL描述出租車計費系統(tǒng)的操作流程及控制方案,實現(xiàn)模擬汽車的啟動、停止、計費、暫停、預(yù)置等功能,并用
2011-12-28 10:35:03119

城市出租車的投放控制模型研究

本論文根據(jù)城市出租車運營的特點,在出租車監(jiān)控平臺數(shù)據(jù)的基礎(chǔ)上,研究出租車投放數(shù)量調(diào)控的措施。出租車空駛率這一重要參數(shù),可以根據(jù)采集的出租車運營信息計算得出,以此來
2013-06-09 16:18:090

基于單片機的出租車計費器

基于單片機的出租車計費器,用C來編程的。。
2016-01-14 17:10:2016

出租車計價器設(shè)計資料

出租車計價器設(shè)計資料,很好設(shè)計資料,快來學(xué)習(xí)吧。
2016-05-09 17:10:010

出租車計價器資料

出租車計價器資料,很好設(shè)計資料,快來學(xué)習(xí)吧。
2016-05-09 17:10:010

多功能出租車計價器設(shè)計

基于單片機設(shè)計的出租車價格設(shè)計,附有原理圖和程序
2016-09-27 15:53:230

出租車計時器

出租車計時計費器系統(tǒng)仿真設(shè)計和報告
2016-12-15 22:44:303

畢業(yè)設(shè)計出租車計價器

畢業(yè)設(shè)計出租車計價器
2016-12-17 21:49:1941

一種新型的出租車調(diào)度系統(tǒng)

本文設(shè)計和實現(xiàn)了一種新型的出租車調(diào)度系統(tǒng),該系統(tǒng)通過改進當前調(diào)度系統(tǒng)的叫車方式,并提出基于網(wǎng)格的出租車調(diào)度算法,該算法結(jié)合通信平臺上傳的出租車GPS位置信息和GIS系統(tǒng)網(wǎng)格信息把出租車分組,實現(xiàn)小組內(nèi)及小組間出租車高效協(xié)作和調(diào)度,很大程度上提高了出租車的調(diào)度效率。 終端硬件結(jié)構(gòu)圖
2017-09-06 10:23:520

基于GPS的新型出租車調(diào)度系統(tǒng)設(shè)計方案

在大多數(shù)國家,出租車都是一種十分普遍和便捷的交通工具。多數(shù)發(fā)達國家建設(shè)了完善的出租車調(diào)度系統(tǒng),實現(xiàn)了出租車高效運營,如美國,電話預(yù)約和站點候客的出租車運營方式占98%,路拋式僅占2%;在倫敦、巴黎
2017-12-01 11:51:240

出租車RFID電子標簽防偽系統(tǒng)解決方案

第 1 章 前言 1.1 概述 目前,隨著城市出租車的日漸增多,假出租車非法運營的情況屢見不鮮,出租車的運營管理上面凸現(xiàn)了許多新的問題,單一的通過車牌來認證出租車真?zhèn)我呀?jīng)難以達到有效管理的目的,因此
2017-12-08 11:37:080

出租車載客點推薦算法

針對現(xiàn)有出租車載客點推薦算法忽略出租車所處上下文的情況,提出了一種基于時空上下文協(xié)同過濾的出租車載客點推薦算法。該算法將載客點信息映射到空間網(wǎng)格,通過在出租車司機駕駛行為相似度的計算中引入時間衰減
2018-01-09 19:13:440

盤點出租車行業(yè)電動化的機遇和挑戰(zhàn)

從純電動車的生產(chǎn)力屬性完整分析了出租車行業(yè)電動化的機遇和挑戰(zhàn),比較分析了如何通過快充和快換來解決出租車的續(xù)航問題,并就此針對城市出租車如何推廣電動車給出了建議方案。
2018-10-04 11:49:007106

Uber推出空中出租車的參考設(shè)計

Uber表示,飛行出租車在短時間內(nèi)用于短途旅行
2019-06-14 16:22:412786

車聯(lián)網(wǎng)之物聯(lián)卡應(yīng)用-出租車專題

前兩期我們說了電摩車和私家車在車聯(lián)網(wǎng)方面的一些具體應(yīng)用,這次主要是來說一說出租車。其實從需求的角度來講,出租車的對于車聯(lián)網(wǎng)這樣的一些應(yīng)用的需求應(yīng)該是更加剛性一些,畢竟車聯(lián)網(wǎng)的搭建能夠非常方便的來提升
2020-05-06 09:42:13781

德國飛行出租車研發(fā)公司Volocopter開始預(yù)售空中電動出租車航班

來源:TechWeb 作者:宋星 9月17日消息,據(jù)國外媒體報道,德國電動飛行出租車研發(fā)公司Volocopter開始預(yù)售空中電動出租車航班。 圖片來自Volocopter官網(wǎng) Volocopter
2020-09-17 14:23:591597

EDA程序設(shè)計之出租車計費器

1.1 設(shè)計要求 1.1.1 設(shè)計任務(wù) 設(shè)計并制作一臺出租車計費器。1.1.2 性能指標要求① 用EDA實訓(xùn)儀的I/O設(shè)備和FPGA實現(xiàn)出租車計費器的設(shè)計。② 出租車起步開始計程和計費,計程系統(tǒng)
2023-08-22 10:19:412

已全部加載完成