電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示
創(chuàng)作
電子發(fā)燒友網(wǎng)>電子資料下載>通信網(wǎng)絡(luò)>QOS實(shí)例的詳細(xì)資料解說(shuō)

QOS實(shí)例的詳細(xì)資料解說(shuō)

2019-07-15 | docx | 0.02 MB | 次下載 | 免費(fèi)

資料介紹

  例一:對(duì)所有流量都控制,沒(méi)有分類

  if)#rate-limit input 64000 1500 2000 conform-action transmit exceed-action drop

  #show interface rate-limit

  shaping中BC和BE是用單位bit

  例二:對(duì)一類特定的流量做限制

  要求在出接口做 CAR

  speed:64Kpbs

  Traffic:192.168.1.0/24 any udp 4000 5000(端口號(hào)4000-5000)

  Token:prec=3

  NO Token:Drop

  access-list 100 permit udp 192.168.1.0 0.0.0.255 any range 4000 5000

  int s0/0

  rate-limit output access-group 100 64000 1500 2000 conform-action set-prec-transmit 3 exceed-action drop

  CIR Bc Bc+Be 拿到令牌的 設(shè)置優(yōu)先級(jí) 沒(méi)令牌的drop掉

  #show interface rate-limit

  注意:這里Bc和Be的單位是BYTE,切記,在shaping中,單位是bit,在policy中,單位是byte

  set-prec-transmit 如果這里用的是set-prec-continue 則表示可以繼續(xù)向下找更優(yōu)的語(yǔ)句

  例三:說(shuō)明continue的作用

  要求:

  1、對(duì)于接口的VOIP流量要求控制在128K,拿到令牌的,直接傳遞,沒(méi)牌的向下匹配

  2、將出接口的總速率控制在640K,拿到令牌的傳遞,其他的drop

  access-list 100 permit udp any any range 16384 32767

  rate-limit output access-group 100 128000 1500 2000 conform-action transmit exceed-action continue

  rate-limit output 640000 1500 2000 conform-action transmit exceed-action drop

  CBpolicing

  -conforms 拿到令牌的就是conforms

  -exceeds 在只有一個(gè)桶的情況下,沒(méi)拿到令牌的就是exceeds,兩個(gè)桶的情況下,借了第二個(gè)桶的令牌的就是exceeds

  -violates 在有兩個(gè)桶的情況下才有用,當(dāng)兩個(gè)桶的令牌都用完了,再來(lái)的數(shù)據(jù)包就是violates一類了

  例:

  對(duì)于VOICE流量,設(shè)傳輸速率為64K,拿到令牌的設(shè)為EF優(yōu)先級(jí),并傳輸,借用令牌的,只作為普通包傳輸,沒(méi)牌的drop掉。

  第一步:

  access-list 100 permit udp any any range 16384 32767

  第二步:

  class-map VOIP

  match access-group 100

  第三步:

  policy-map WOLF

  class VOIP

  police cir 64000 conform-action set-dscp-transmit ef exceed-action transmit violate-action drop 拿到令牌的設(shè)為ef優(yōu)先級(jí),借用令牌的只作為普通包傳輸,沒(méi)牌的丟掉

  第四步:

  int s0/0

  service-policy input WOLF 調(diào)用

  show policy-map interface s0/0

  WRED(Weighted Random Early Detection)

  ·TCP中存在一個(gè)慢啟動(dòng)和擁塞避免機(jī)制,當(dāng)檢測(cè)網(wǎng)絡(luò)中發(fā)生沖突的時(shí)候,會(huì)大幅度降低發(fā)送速率

  ·當(dāng)網(wǎng)絡(luò)中發(fā)生擁塞,有可能同時(shí)丟失多個(gè)TCP連接的數(shù)據(jù)包,造成多個(gè)TCP連接同時(shí)進(jìn)入慢啟動(dòng)狀態(tài),這被稱為TCP全局同步

  ·解決方法:可以在網(wǎng)絡(luò)發(fā)生擁塞之前,就主動(dòng)丟棄一部分TCP數(shù)據(jù)包,防止出現(xiàn)尾丟棄,從而避免進(jìn)入慢啟動(dòng)

  ·默認(rèn)設(shè)置尾丟棄是一種被動(dòng)的隊(duì)列管理機(jī)制。

  主動(dòng)隊(duì)列管理機(jī)制在擁塞發(fā)生前就丟棄分組。

  ·基本思想:確保隊(duì)列長(zhǎng)度位于最小閾值和最大閾值之間,對(duì)于不同類別的數(shù)據(jù)流采用不同丟棄策略。

  丟棄概率:1/10

  R2(config-if)#random-detect 啟用開(kāi)關(guān)

  random-detect precedence 6 45 50 5 設(shè)優(yōu)先級(jí)為6的包在隊(duì)列填充到45和50之間時(shí)開(kāi)始丟棄

  5表示按1/5的概率丟棄

  random-detect dscp-based

  show queueing random-detect

  random-detect exponential-weighting-constant N 默認(rèn)是9

  N值越大,WRED就越不敏感,最后導(dǎo)致失效,N值越小,會(huì)導(dǎo)致包被丟掉的概率越大

  注意:默認(rèn)情況下,啟用WRED后只能使用FIFO

  FB-WRED

  使用流來(lái)分類,默認(rèn)最多可分256個(gè)流

  int s0/0

  random-detect flow 開(kāi)啟開(kāi)關(guān)

  random-detect flow count 256 設(shè)定可分的流的數(shù)目

  show queueing random-detect

  CBWRED即可對(duì)TCP,也可對(duì)非TCP流量,必須結(jié)合CBWFQ來(lái)做

  例:將優(yōu)先級(jí)為3、4 的數(shù)據(jù)包分為一個(gè)類別GOLD、帶寬30%
?

下載該資料的人也在下載 下載該資料的人還在閱讀
更多 >

評(píng)論

查看更多

下載排行

本周

  1. 1電子電路原理第七版PDF電子教材免費(fèi)下載
  2. 0.00 MB  |  1489次下載  |  免費(fèi)
  3. 2單片機(jī)典型實(shí)例介紹
  4. 18.19 MB  |  91次下載  |  1 積分
  5. 3S7-200PLC編程實(shí)例詳細(xì)資料
  6. 1.17 MB  |  27次下載  |  1 積分
  7. 4筆記本電腦主板的元件識(shí)別和講解說(shuō)明
  8. 4.28 MB  |  18次下載  |  4 積分
  9. 5開(kāi)關(guān)電源原理及各功能電路詳解
  10. 0.38 MB  |  9次下載  |  免費(fèi)
  11. 6基于AT89C2051/4051單片機(jī)編程器的實(shí)驗(yàn)
  12. 0.11 MB  |  4次下載  |  免費(fèi)
  13. 7基于單片機(jī)和 SG3525的程控開(kāi)關(guān)電源設(shè)計(jì)
  14. 0.23 MB  |  3次下載  |  免費(fèi)
  15. 8基于單片機(jī)的紅外風(fēng)扇遙控
  16. 0.23 MB  |  3次下載  |  免費(fèi)

本月

  1. 1OrCAD10.5下載OrCAD10.5中文版軟件
  2. 0.00 MB  |  234313次下載  |  免費(fèi)
  3. 2PADS 9.0 2009最新版 -下載
  4. 0.00 MB  |  66304次下載  |  免費(fèi)
  5. 3protel99下載protel99軟件下載(中文版)
  6. 0.00 MB  |  51209次下載  |  免費(fèi)
  7. 4LabView 8.0 專業(yè)版下載 (3CD完整版)
  8. 0.00 MB  |  51043次下載  |  免費(fèi)
  9. 5555集成電路應(yīng)用800例(新編版)
  10. 0.00 MB  |  33562次下載  |  免費(fèi)
  11. 6接口電路圖大全
  12. 未知  |  30319次下載  |  免費(fèi)
  13. 7Multisim 10下載Multisim 10 中文版
  14. 0.00 MB  |  28588次下載  |  免費(fèi)
  15. 8開(kāi)關(guān)電源設(shè)計(jì)實(shí)例指南
  16. 未知  |  21539次下載  |  免費(fèi)

總榜

  1. 1matlab軟件下載入口
  2. 未知  |  935053次下載  |  免費(fèi)
  3. 2protel99se軟件下載(可英文版轉(zhuǎn)中文版)
  4. 78.1 MB  |  537791次下載  |  免費(fèi)
  5. 3MATLAB 7.1 下載 (含軟件介紹)
  6. 未知  |  420026次下載  |  免費(fèi)
  7. 4OrCAD10.5下載OrCAD10.5中文版軟件
  8. 0.00 MB  |  234313次下載  |  免費(fèi)
  9. 5Altium DXP2002下載入口
  10. 未知  |  233045次下載  |  免費(fèi)
  11. 6電路仿真軟件multisim 10.0免費(fèi)下載
  12. 340992  |  191183次下載  |  免費(fèi)
  13. 7十天學(xué)會(huì)AVR單片機(jī)與C語(yǔ)言視頻教程 下載
  14. 158M  |  183277次下載  |  免費(fèi)
  15. 8proe5.0野火版下載(中文版免費(fèi)下載)
  16. 未知  |  138039次下載  |  免費(fèi)