電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示
創(chuàng)作
電子發(fā)燒友網(wǎng)>電子資料下載>無(wú)線通信>數(shù)字化技術(shù)在毫米波高分辨雷達(dá)中的應(yīng)用研究

數(shù)字化技術(shù)在毫米波高分辨雷達(dá)中的應(yīng)用研究

2010-08-12 | rar | 3150 | 次下載 | 5積分

資料介紹

論文對(duì)數(shù)字化技術(shù)在雷達(dá)中的應(yīng)用(雷達(dá)數(shù)字化技術(shù))發(fā)展以及雷達(dá)數(shù)字化技術(shù)
研究方法進(jìn)行了全面綜述,指出了雷達(dá)數(shù)字化包括用數(shù)字部件代替大部分模擬部件,
雷達(dá)信號(hào)波形的數(shù)字化產(chǎn)生、雷達(dá)波束的數(shù)字化形成、雷達(dá)信號(hào)的數(shù)字化處理等。
本論文討論了使用DDS產(chǎn)生步進(jìn)頻率脈沖和線性調(diào)頻脈沖復(fù)合波形的方法,以及
線性調(diào)頻脈沖頻率步進(jìn)雷達(dá)信號(hào)的處理方法;應(yīng)用線性時(shí)不交系統(tǒng)理論推導(dǎo)了LFM頻
率步進(jìn)雷達(dá)信號(hào)一維距離像數(shù)學(xué)表達(dá)式;在設(shè)計(jì)毫米波高分辨雷達(dá)導(dǎo)引頭時(shí),采用
大時(shí)寬帶寬積脈沖“ⅣJ×31.25托阮)和較窄矩形子脈沖(125ns)頻率步迸脈沖兩種
波形,很好地解決了脈沖體制下遠(yuǎn)程探測(cè)與減小近程盲區(qū)的矛盾,提出了應(yīng)用脈內(nèi)
和脈間兩種調(diào)制波形的方案,兼顧對(duì)目標(biāo)進(jìn)行遠(yuǎn)距離和近距離高分辨探測(cè),擴(kuò)大了
毫米波高分辨雷達(dá)的作用范圍。采用數(shù)字直接下變頻(DDC)技術(shù),在帶通采樣過(guò)程
中,同時(shí)完成下變頻,降低了工程實(shí)現(xiàn)的難度。計(jì)算并優(yōu)化了毫米波雷達(dá)中頻信號(hào)
帶通采樣的最佳采樣頻率,應(yīng)用現(xiàn)有的集成電路技術(shù)實(shí)現(xiàn)對(duì)中頻信號(hào)的直接采樣、
用一個(gè)A/D轉(zhuǎn)換器在數(shù)字域完成復(fù)數(shù)正交變換,在數(shù)字域完成下變頻、獲得同相信號(hào)
和正交相信號(hào)、完成對(duì)子脈沖的脈內(nèi)壓縮、完成對(duì)脈內(nèi)壓縮信號(hào)的第二次脈間壓縮,
從而獲得高分辨一維距離像。提出了二步伸縮處理方法,在測(cè)距的同時(shí),做到測(cè)速,,
有利于一維成像的速度補(bǔ)償。
論文在理論研究的基礎(chǔ)上,進(jìn)一步研究TDDS的控制方法,用單片機(jī)對(duì)DDS芯片進(jìn)
行控制,產(chǎn)生線性調(diào)頻基帶脈沖(Chirp),結(jié)合步進(jìn)頻率脈沖的方法,實(shí)現(xiàn)兩種波
形之間的自適應(yīng)切換,給出了實(shí)驗(yàn)結(jié)果。提出-YCostas調(diào)頻編碼脈沖的DDS實(shí)現(xiàn)方案。

下載該資料的人也在下載 下載該資料的人還在閱讀
更多 >

評(píng)論

查看更多

下載排行

本周

  1. 1電子電路原理第七版PDF電子教材免費(fèi)下載
  2. 0.00 MB  |  1489次下載  |  免費(fèi)
  3. 2單片機(jī)典型實(shí)例介紹
  4. 18.19 MB  |  92次下載  |  1 積分
  5. 3S7-200PLC編程實(shí)例詳細(xì)資料
  6. 1.17 MB  |  27次下載  |  1 積分
  7. 4筆記本電腦主板的元件識(shí)別和講解說(shuō)明
  8. 4.28 MB  |  18次下載  |  4 積分
  9. 5開(kāi)關(guān)電源原理及各功能電路詳解
  10. 0.38 MB  |  10次下載  |  免費(fèi)
  11. 6基于AT89C2051/4051單片機(jī)編程器的實(shí)驗(yàn)
  12. 0.11 MB  |  4次下載  |  免費(fèi)
  13. 7基于單片機(jī)和 SG3525的程控開(kāi)關(guān)電源設(shè)計(jì)
  14. 0.23 MB  |  3次下載  |  免費(fèi)
  15. 8藍(lán)牙設(shè)備在嵌入式領(lǐng)域的廣泛應(yīng)用
  16. 0.63 MB  |  3次下載  |  免費(fèi)

本月

  1. 1OrCAD10.5下載OrCAD10.5中文版軟件
  2. 0.00 MB  |  234313次下載  |  免費(fèi)
  3. 2PADS 9.0 2009最新版 -下載
  4. 0.00 MB  |  66304次下載  |  免費(fèi)
  5. 3protel99下載protel99軟件下載(中文版)
  6. 0.00 MB  |  51209次下載  |  免費(fèi)
  7. 4LabView 8.0 專(zhuān)業(yè)版下載 (3CD完整版)
  8. 0.00 MB  |  51043次下載  |  免費(fèi)
  9. 5555集成電路應(yīng)用800例(新編版)
  10. 0.00 MB  |  33562次下載  |  免費(fèi)
  11. 6接口電路圖大全
  12. 未知  |  30319次下載  |  免費(fèi)
  13. 7Multisim 10下載Multisim 10 中文版
  14. 0.00 MB  |  28588次下載  |  免費(fèi)
  15. 8開(kāi)關(guān)電源設(shè)計(jì)實(shí)例指南
  16. 未知  |  21539次下載  |  免費(fèi)

總榜

  1. 1matlab軟件下載入口
  2. 未知  |  935053次下載  |  免費(fèi)
  3. 2protel99se軟件下載(可英文版轉(zhuǎn)中文版)
  4. 78.1 MB  |  537791次下載  |  免費(fèi)
  5. 3MATLAB 7.1 下載 (含軟件介紹)
  6. 未知  |  420026次下載  |  免費(fèi)
  7. 4OrCAD10.5下載OrCAD10.5中文版軟件
  8. 0.00 MB  |  234313次下載  |  免費(fèi)
  9. 5Altium DXP2002下載入口
  10. 未知  |  233045次下載  |  免費(fèi)
  11. 6電路仿真軟件multisim 10.0免費(fèi)下載
  12. 340992  |  191183次下載  |  免費(fèi)
  13. 7十天學(xué)會(huì)AVR單片機(jī)與C語(yǔ)言視頻教程 下載
  14. 158M  |  183277次下載  |  免費(fèi)
  15. 8proe5.0野火版下載(中文版免費(fèi)下載)
  16. 未知  |  138039次下載  |  免費(fèi)