電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示
創(chuàng)作
電子發(fā)燒友網(wǎng)>電子資料下載>DSP>電量測量裝置校驗中接口電路的實現(xiàn)方法

電量測量裝置校驗中接口電路的實現(xiàn)方法

2017-10-16 | rar | 0.4 MB | 次下載 | 1積分

資料介紹

摘 要:本文敘述在進行電量測量裝置的高精度校驗中,采用數(shù)字信號處理器TMS320F206及其與工業(yè)控制PC機(IPC)的ISA總線、雙口SRAM、高精度A/D轉(zhuǎn)換器接口電路的實現(xiàn)方法。
  在進行常規(guī)電量測量裝置的校驗中,作為校驗裝置,一定要對電壓、電流的幅值、頻率、相位等進行高精度測量,在校驗裝置中采用TI公司DSP器件TMS320F206控制A/D轉(zhuǎn)換、數(shù)據(jù)采集和數(shù)字濾波處理,并把濾波處理后的數(shù)據(jù)傳送給微機進行數(shù)據(jù)的進一步處理,實現(xiàn)了高精度電表校驗的要求。
  測量單元的組成及其功能
  測量單元是作為系統(tǒng)的高精度“標準表”,要完成對交/直流電壓、電流的多個電量測量,測量的精度小于0.05級,測量單元采取插卡式設計,直接插入IPC(工業(yè)控制微機)的ISA總線中使用。本單元結(jié)構(gòu)框圖如圖1所示。
  電量測量裝置校驗中接口電路的實現(xiàn)方法
  其中:A/D轉(zhuǎn)換器采用BB公司的ADS7805,這是16-Bit,轉(zhuǎn)換頻率可達100KHz,的高精度轉(zhuǎn)換器,芯片有28腳雙排直插式或貼片式封裝,轉(zhuǎn)換結(jié)果16位并行輸出,啟動轉(zhuǎn)換和讀取上次轉(zhuǎn)換的結(jié)果可以同時進行,用它完成變換后的電壓、電流信號的A/D轉(zhuǎn)換;雙口RAM采用CY7133-25,它是一個雙邊均16位數(shù)據(jù)位的2KBRAM,兩邊可以分別對片內(nèi)的存儲單元進行存取,在電路中分別受DSP和IPC的控制,以實現(xiàn)IPC和TMS320F206之間的數(shù)據(jù)交換;過零比較用LM339,實現(xiàn)對交流v、I的過零檢測,用于獲取計算頻率、相位差等數(shù)據(jù)的信號。
  DSP采用的是TI公司的16-bit定點DSP TMS320F206,運算速度40MIPS,是一種低功耗器件,采用了改進的哈佛結(jié)構(gòu),有1條程序總線和3條數(shù)據(jù)總線,流水線操作,有高度并行32-bit算術(shù)邏輯單元、16*16-bit并行硬件乘法器、片內(nèi)存儲器、片內(nèi)外設和高度專業(yè)化的指令集,從而使該芯片速度高、操作靈活。片內(nèi)資源還有:內(nèi)部時鐘發(fā)生器,可以對外接時鐘源進行*1、*2、*4和/2來產(chǎn)生CPU時鐘;片內(nèi)有RAM4.5K,F(xiàn)lash32K,能夠適合于許多工程應用,特別是32K Flash作為程序存儲器,給系統(tǒng)的設計和程序的調(diào)試帶來很大方便;3個外部中斷INT1、INT2、INT;1個同步串口和一個異步串口;1個軟件可編程定時器;JTAG掃描仿真接口(IEEE標準),用來實現(xiàn)在線仿真測試;具有4個獨立可編程I/O腳(I/O0、I/O1、I/O2、I/O3),1個輸出腳XF和1個輸入腳/BIO。
  由于DSP的取指和執(zhí)行能完全重疊運行,再加上多級流水線操作、專用的硬件乘法器、特殊的DSP指令和快速的指令周期等結(jié)構(gòu)特點,使得其數(shù)字處理速度大大提高,這也為DSP和外部電路和器件的接口提出了一些新的要求和問題,在設計DSP應用系統(tǒng)時必須要認真考慮。
  TMS320F206和ISA總線的接口
  考慮到系統(tǒng)數(shù)據(jù)處理的適時性和相對獨立性,TMS320F206和IPC交換數(shù)據(jù)是通過雙口SRAM實現(xiàn),接口電路如圖2所示。
  這部分電路接口,主要需要考慮解決以下問題:
 ?。?) DSP對雙口SRAM的讀/寫控制
  TMS320F206的地址線A0-A10分別和CY7133的A0L-A10L直接相連,F(xiàn)206的16位數(shù)據(jù)線分別和CY7133的IO L0-10直接相連。由于采用了快速雙口SRAM,無需考慮為DSP加入等待狀態(tài),R//WE直接接RAM的R/W LU和R/W LL進行數(shù)據(jù)讀寫控制,CY7133的片選信號/CEL由/DS和A15組合產(chǎn)生,由圖可見TMS320F206對CY7133的尋址
  電量測量裝置校驗中接口電路的實現(xiàn)方法
  范圍為8000H-87FFH。測量單元用了3片TMS320F206組成3路相同的測量電路對三相電路分別測量(圖2中只》  ?。?) IPC對雙口SRAM的讀/寫控制;
  IPC通過ISA總線對雙口SRAM的讀/寫控制,直接用存儲器尋址的方法進行讀寫。 ISA總線有A0-A19根地址線,可以直接尋址00000-FFFFFH,其中C8000-EFFFFH保留給用戶,可以作為存儲器的擴充設計使用。本電路IPC對雙口SRAM的讀/寫控制中,地址線、數(shù)據(jù)線、存儲器讀(/OE)和寫(/MEMW)控制線的連接如圖2中所示,其譯碼電路譯碼得到的3組地址選擇信號,D8000-D87FFH、D88000-D8FFFH、D9000-D97FFH分別用來作為3路雙口RAM的片選信號。
 ?。?) 避免兩邊對同一單元同時進行讀/寫操作
  因為DSP和IPC對雙口SRAM的讀/寫是隨機的,在使用中有可能兩邊同時對SRAM的同一單元進行讀寫操作,從而引起讀寫數(shù)據(jù)的錯誤。CY7133兩邊有/BUSY信號,當兩邊器件同時訪問同一存儲單元時,信號/BUSY有效,表示有一個訪問沖突發(fā)生。為了能夠處理好訪問沖突,如圖3,/BUSYL信號接TMS320F206的READY引腳,當READY為低,表示IPC已經(jīng)在對CY7133的同一單元讀或?qū)懀琓MS320F206應稍作延時再對該單元操作;三路CY7133的/BUSYR信號經(jīng)過一個與門接到ISA總線的10號中斷IR10,任一路/BUSYR有效,即向IPC發(fā)出中斷,表示DSP已經(jīng)在對SRAM的同一單元讀或?qū)?,IPC應稍作延時再對該單元操作,這樣就實現(xiàn)了TMS320F206和IPC進行正常的雙口存儲空間訪問。需要注意,/BUSY引腳必須接上拉電阻才能正常工作(圖中從略)。
下載該資料的人也在下載 下載該資料的人還在閱讀
更多 >

評論

查看更多

下載排行

本周

  1. 1電子電路原理第七版PDF電子教材免費下載
  2. 0.00 MB  |  1489次下載  |  免費
  3. 2單片機典型實例介紹
  4. 18.19 MB  |  91次下載  |  1 積分
  5. 3S7-200PLC編程實例詳細資料
  6. 1.17 MB  |  27次下載  |  1 積分
  7. 4筆記本電腦主板的元件識別和講解說明
  8. 4.28 MB  |  18次下載  |  4 積分
  9. 5開關(guān)電源原理及各功能電路詳解
  10. 0.38 MB  |  9次下載  |  免費
  11. 6基于AT89C2051/4051單片機編程器的實驗
  12. 0.11 MB  |  4次下載  |  免費
  13. 7基于單片機和 SG3525的程控開關(guān)電源設計
  14. 0.23 MB  |  3次下載  |  免費
  15. 8基于單片機的紅外風扇遙控
  16. 0.23 MB  |  3次下載  |  免費

本月

  1. 1OrCAD10.5下載OrCAD10.5中文版軟件
  2. 0.00 MB  |  234313次下載  |  免費
  3. 2PADS 9.0 2009最新版 -下載
  4. 0.00 MB  |  66304次下載  |  免費
  5. 3protel99下載protel99軟件下載(中文版)
  6. 0.00 MB  |  51209次下載  |  免費
  7. 4LabView 8.0 專業(yè)版下載 (3CD完整版)
  8. 0.00 MB  |  51043次下載  |  免費
  9. 5555集成電路應用800例(新編版)
  10. 0.00 MB  |  33562次下載  |  免費
  11. 6接口電路圖大全
  12. 未知  |  30319次下載  |  免費
  13. 7Multisim 10下載Multisim 10 中文版
  14. 0.00 MB  |  28588次下載  |  免費
  15. 8開關(guān)電源設計實例指南
  16. 未知  |  21539次下載  |  免費

總榜

  1. 1matlab軟件下載入口
  2. 未知  |  935053次下載  |  免費
  3. 2protel99se軟件下載(可英文版轉(zhuǎn)中文版)
  4. 78.1 MB  |  537791次下載  |  免費
  5. 3MATLAB 7.1 下載 (含軟件介紹)
  6. 未知  |  420026次下載  |  免費
  7. 4OrCAD10.5下載OrCAD10.5中文版軟件
  8. 0.00 MB  |  234313次下載  |  免費
  9. 5Altium DXP2002下載入口
  10. 未知  |  233045次下載  |  免費
  11. 6電路仿真軟件multisim 10.0免費下載
  12. 340992  |  191183次下載  |  免費
  13. 7十天學會AVR單片機與C語言視頻教程 下載
  14. 158M  |  183277次下載  |  免費
  15. 8proe5.0野火版下載(中文版免費下載)
  16. 未知  |  138039次下載  |  免費