電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示
創(chuàng)作
電子發(fā)燒友網(wǎng)>電子資料下載>電子教材>硬件描述語(yǔ)言Verilog HDL

硬件描述語(yǔ)言Verilog HDL

2017-05-03 | ppt | 4884KB | 次下載 | 免費(fèi)

資料介紹

詳細(xì)介紹了verilog語(yǔ)言,很容易看懂,并配有示例

一、什么是Verilog HDL

Verilog HDL是一種用于數(shù)字邏輯電路設(shè)計(jì)硬件描述語(yǔ)言(Hradware Description Language ),可以用來(lái)進(jìn)行數(shù)字電路仿真驗(yàn)證、時(shí)序分析、邏輯綜合。

用Verilog HDL描述的電路設(shè)計(jì)就是該電路的Verilog HDL模型。

Verilog HDL 既是一種行為描述語(yǔ)言也是一種結(jié)構(gòu)描述語(yǔ)言。

既可以用電路的功能描述,也可以用元器件及其之間的連接來(lái)建立Verilog HDL模型。

二、Verilog HDL的發(fā)展歷史

1983年,由GDA(GateWay Design Automation)公司的Phil Moorby首創(chuàng);

1989年,Cadence公司收購(gòu)了GDA公司;

1990年, Cadence公司公開(kāi)發(fā)表Verilog HDL;

1995年,IEEE制定并公開(kāi)發(fā)表Verilog HDL1364-1995標(biāo)準(zhǔn);

1999年,模擬和數(shù)字電路都適用的Verilog標(biāo)準(zhǔn)公開(kāi)發(fā)表

三、不同層次的Verilog HDL抽象

Verilog HDL模型可以是實(shí)際電路的不同級(jí)別的抽象。抽象級(jí)別可分為五級(jí):

系統(tǒng)級(jí)(system level): 用高級(jí)語(yǔ)言結(jié)構(gòu)實(shí)現(xiàn)的設(shè)計(jì)模塊外部性能的模型;

算法級(jí)(algorithmic level): 用高級(jí)語(yǔ)言結(jié)構(gòu)實(shí)現(xiàn)的設(shè)計(jì)算法模型;

RTL級(jí)(register transfer level): 描述數(shù)據(jù)在寄存器之間流動(dòng)和如何處理這些數(shù)據(jù)的模型;

門級(jí)(gate level): 描述邏輯門(如與門、非門、或門、與非門、三態(tài)門等)以及邏輯門之間連接的模型;

開(kāi)關(guān)級(jí)(switch level): 描述器件中三極管和儲(chǔ)存節(jié)點(diǎn)及其之間連接的模型。

四、Verilog HDL的特點(diǎn)

語(yǔ)法結(jié)構(gòu)上的主要特點(diǎn):

形式化地表示電路的行為和結(jié)構(gòu);

借用C語(yǔ)言的結(jié)構(gòu)和語(yǔ)句;

可在多個(gè)層次上對(duì)所設(shè)計(jì)的系統(tǒng)加以描述,語(yǔ)言對(duì)設(shè)計(jì)規(guī)模不加任何限制;

具有混合建模能力:一個(gè)設(shè)計(jì)中的各子模塊可用不同級(jí)別的抽象模型來(lái)描述;

基本邏輯門、開(kāi)關(guān)級(jí)結(jié)構(gòu)模型均內(nèi)置于語(yǔ)言中,可直接調(diào)用;

易創(chuàng)建用戶定義原語(yǔ)(UDP,User Designed Primitive) 。

易學(xué)易用,功能強(qiáng)

硬件描述語(yǔ)言Verilog HDL

下載該資料的人也在下載 下載該資料的人還在閱讀
更多 >

評(píng)論

查看更多

下載排行

本周

  1. 1電子電路原理第七版PDF電子教材免費(fèi)下載
  2. 0.00 MB  |  1490次下載  |  免費(fèi)
  3. 2單片機(jī)典型實(shí)例介紹
  4. 18.19 MB  |  92次下載  |  1 積分
  5. 3S7-200PLC編程實(shí)例詳細(xì)資料
  6. 1.17 MB  |  27次下載  |  1 積分
  7. 4筆記本電腦主板的元件識(shí)別和講解說(shuō)明
  8. 4.28 MB  |  18次下載  |  4 積分
  9. 5開(kāi)關(guān)電源原理及各功能電路詳解
  10. 0.38 MB  |  10次下載  |  免費(fèi)
  11. 6基于AT89C2051/4051單片機(jī)編程器的實(shí)驗(yàn)
  12. 0.11 MB  |  4次下載  |  免費(fèi)
  13. 7藍(lán)牙設(shè)備在嵌入式領(lǐng)域的廣泛應(yīng)用
  14. 0.63 MB  |  3次下載  |  免費(fèi)
  15. 89天練會(huì)電子電路識(shí)圖
  16. 5.91 MB  |  3次下載  |  免費(fèi)

本月

  1. 1OrCAD10.5下載OrCAD10.5中文版軟件
  2. 0.00 MB  |  234313次下載  |  免費(fèi)
  3. 2PADS 9.0 2009最新版 -下載
  4. 0.00 MB  |  66304次下載  |  免費(fèi)
  5. 3protel99下載protel99軟件下載(中文版)
  6. 0.00 MB  |  51209次下載  |  免費(fèi)
  7. 4LabView 8.0 專業(yè)版下載 (3CD完整版)
  8. 0.00 MB  |  51043次下載  |  免費(fèi)
  9. 5555集成電路應(yīng)用800例(新編版)
  10. 0.00 MB  |  33562次下載  |  免費(fèi)
  11. 6接口電路圖大全
  12. 未知  |  30320次下載  |  免費(fèi)
  13. 7Multisim 10下載Multisim 10 中文版
  14. 0.00 MB  |  28588次下載  |  免費(fèi)
  15. 8開(kāi)關(guān)電源設(shè)計(jì)實(shí)例指南
  16. 未知  |  21539次下載  |  免費(fèi)

總榜

  1. 1matlab軟件下載入口
  2. 未知  |  935053次下載  |  免費(fèi)
  3. 2protel99se軟件下載(可英文版轉(zhuǎn)中文版)
  4. 78.1 MB  |  537791次下載  |  免費(fèi)
  5. 3MATLAB 7.1 下載 (含軟件介紹)
  6. 未知  |  420026次下載  |  免費(fèi)
  7. 4OrCAD10.5下載OrCAD10.5中文版軟件
  8. 0.00 MB  |  234313次下載  |  免費(fèi)
  9. 5Altium DXP2002下載入口
  10. 未知  |  233045次下載  |  免費(fèi)
  11. 6電路仿真軟件multisim 10.0免費(fèi)下載
  12. 340992  |  191183次下載  |  免費(fèi)
  13. 7十天學(xué)會(huì)AVR單片機(jī)與C語(yǔ)言視頻教程 下載
  14. 158M  |  183277次下載  |  免費(fèi)
  15. 8proe5.0野火版下載(中文版免費(fèi)下載)
  16. 未知  |  138039次下載  |  免費(fèi)