電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線(xiàn)課程
  • 觀(guān)看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示
創(chuàng)作
電子發(fā)燒友網(wǎng)>電子資料下載>單片機(jī)>使用51單片機(jī)模擬串口的三種方法詳細(xì)說(shuō)明

使用51單片機(jī)模擬串口的三種方法詳細(xì)說(shuō)明

2019-08-28 | pdf | 0.09 MB | 次下載 | 1積分

資料介紹

  隨著單片機(jī)的使用日益頻繁,用其作前置機(jī)進(jìn)行采集和通信也常見(jiàn)于各種應(yīng)用,一般是利用前置機(jī)采集各種終端數(shù)據(jù)后進(jìn)行處理、存儲(chǔ),再主動(dòng)或被動(dòng)上報(bào)給管理站。這種情況下下,采集會(huì)需要一個(gè)串口,上報(bào)又需要另一個(gè)串口,這就要求單片機(jī)具有雙串口的功能,但我們知道一般的51 系列只提供一個(gè)串口,那么另一個(gè)串口只能靠程序模擬。

  本文所說(shuō)的模擬串口, 就是利用51的兩個(gè)輸入輸出引腳如P1.0和P1.1,置1或0分別代表高低電平,也就是串口通信中所說(shuō)的位,如起始位用低電平,則將其置0,停止位為高電平,則將其置 1,各種數(shù)據(jù)位和校驗(yàn)位則根據(jù)情況置1或置0。至于串口通信的波特率,說(shuō)到底只是每位電平持續(xù)的時(shí)間,波特率越高,持續(xù)的時(shí)間越短。如波特率為9600BPS,即每一位傳送時(shí)間為 1000ms/9600=0.104ms,即位與位之間的延時(shí)為為0.104毫秒。單片機(jī)的延時(shí)是通過(guò)執(zhí)行若干條指令來(lái)達(dá)到目的的,因?yàn)槊織l指令為1-3個(gè)指令周期,可即是通過(guò)若干個(gè)指令周期來(lái)進(jìn)行延時(shí)的,單片機(jī)常用11.0592M的的晶振,現(xiàn)在我要告訴你這個(gè)奇怪?jǐn)?shù)字的來(lái)歷。用此頻率則每個(gè)指令周期的時(shí)間為(12/11.0592)us,那么波特率為9600BPS每位要間融多少個(gè)指令周期呢?

  指令周期s=(1000000/9600)/(12/11.0592)=96,剛好為一整數(shù),如果為4800BPS則為 96x2=192,如為19200BPS則為48,別的波特率就不算了,都剛好為整數(shù)個(gè)指令周期,妙吧。至于別的晶振頻率大家自已去算吧?,F(xiàn)在就以11.0592M的晶振為例,談?wù)勅N模擬串口的方法。

下載該資料的人也在下載 下載該資料的人還在閱讀
更多 >

評(píng)論

查看更多

下載排行

本周

  1. 1TC358743XBG評(píng)估板參考手冊(cè)
  2. 1.36 MB  |  330次下載  |  免費(fèi)
  3. 2開(kāi)關(guān)電源基礎(chǔ)知識(shí)
  4. 5.73 MB  |  6次下載  |  免費(fèi)
  5. 3100W短波放大電路圖
  6. 0.05 MB  |  4次下載  |  3 積分
  7. 4嵌入式linux-聊天程序設(shè)計(jì)
  8. 0.60 MB  |  3次下載  |  免費(fèi)
  9. 5基于FPGA的光纖通信系統(tǒng)的設(shè)計(jì)與實(shí)現(xiàn)
  10. 0.61 MB  |  2次下載  |  免費(fèi)
  11. 651單片機(jī)窗簾控制器仿真程序
  12. 1.93 MB  |  2次下載  |  免費(fèi)
  13. 751單片機(jī)大棚環(huán)境控制器仿真程序
  14. 1.10 MB  |  2次下載  |  免費(fèi)
  15. 8基于51單片機(jī)的RGB調(diào)色燈程序仿真
  16. 0.86 MB  |  2次下載  |  免費(fèi)

本月

  1. 1OrCAD10.5下載OrCAD10.5中文版軟件
  2. 0.00 MB  |  234315次下載  |  免費(fèi)
  3. 2555集成電路應(yīng)用800例(新編版)
  4. 0.00 MB  |  33564次下載  |  免費(fèi)
  5. 3接口電路圖大全
  6. 未知  |  30323次下載  |  免費(fèi)
  7. 4開(kāi)關(guān)電源設(shè)計(jì)實(shí)例指南
  8. 未知  |  21549次下載  |  免費(fèi)
  9. 5電氣工程師手冊(cè)免費(fèi)下載(新編第二版pdf電子書(shū))
  10. 0.00 MB  |  15349次下載  |  免費(fèi)
  11. 6數(shù)字電路基礎(chǔ)pdf(下載)
  12. 未知  |  13750次下載  |  免費(fèi)
  13. 7電子制作實(shí)例集錦 下載
  14. 未知  |  8113次下載  |  免費(fèi)
  15. 8《LED驅(qū)動(dòng)電路設(shè)計(jì)》 溫德?tīng)栔?/a>
  16. 0.00 MB  |  6653次下載  |  免費(fèi)

總榜

  1. 1matlab軟件下載入口
  2. 未知  |  935054次下載  |  免費(fèi)
  3. 2protel99se軟件下載(可英文版轉(zhuǎn)中文版)
  4. 78.1 MB  |  537796次下載  |  免費(fèi)
  5. 3MATLAB 7.1 下載 (含軟件介紹)
  6. 未知  |  420026次下載  |  免費(fèi)
  7. 4OrCAD10.5下載OrCAD10.5中文版軟件
  8. 0.00 MB  |  234315次下載  |  免費(fèi)
  9. 5Altium DXP2002下載入口
  10. 未知  |  233046次下載  |  免費(fèi)
  11. 6電路仿真軟件multisim 10.0免費(fèi)下載
  12. 340992  |  191185次下載  |  免費(fèi)
  13. 7十天學(xué)會(huì)AVR單片機(jī)與C語(yǔ)言視頻教程 下載
  14. 158M  |  183279次下載  |  免費(fèi)
  15. 8proe5.0野火版下載(中文版免費(fèi)下載)
  16. 未知  |  138040次下載  |  免費(fèi)