電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示
創(chuàng)作
電子發(fā)燒友網(wǎng)>電子資料下載>可編程邏輯>FPGA/ASIC>PROTEUS VSM介紹

PROTEUS VSM介紹

2008-09-12 | rar | 555 | 次下載 | 10積分

資料介紹

Proteus VSM包括原理布圖系統(tǒng)ISIS、帶擴(kuò)展的Prospice混合模型仿真器、動(dòng)態(tài)器件庫(kù)、高級(jí)圖形分析模塊和處理器虛擬系統(tǒng)仿真模型VSM,是一個(gè)完整的嵌入式系統(tǒng)軟、硬件設(shè)計(jì)仿真平臺(tái)。
Proteus 虛擬系統(tǒng)模型組合了混合模式的PROSPICE電路仿真、動(dòng)態(tài)器件和微控制器模型實(shí)現(xiàn)了完整的基于微控制器設(shè)計(jì)的協(xié)同仿真。第一次真正使在物理原型出來(lái)之前對(duì)這類(lèi)設(shè)計(jì)的開(kāi)發(fā)和測(cè)試成為可能。
特點(diǎn): ??
??
支持ARM7,PIC ,AVR,HC11以及8051系列的微處理器CPU模型,更多模型正在開(kāi)發(fā)中,更新信息請(qǐng)參見(jiàn)網(wǎng)頁(yè);
交互外設(shè)模型有LCD顯示、RS232終端、通用鍵盤(pán)、開(kāi)關(guān)、按鈕、LED等;
強(qiáng)大的調(diào)試功能,如訪問(wèn)寄存器與內(nèi)存,設(shè)置斷點(diǎn)和單步運(yùn)行模式;
支持如IAR、Keil和Hitech等開(kāi)發(fā)工具的源碼C和匯編的調(diào)試;
一鍵“make”特性:一個(gè)鍵完成編譯與仿真操作;
內(nèi)置超過(guò)6000標(biāo)準(zhǔn)SPICE模型,完全兼容制造商提供的SPICE模型;
DLL界面為應(yīng)用提供特定的模式;
基于工業(yè)標(biāo)準(zhǔn)的SPICE3F5混合模型電路仿真
14種虛擬儀器:示波器、邏輯分析儀、信號(hào)發(fā)生器、規(guī)程分析儀等;
高級(jí)仿真包含強(qiáng)大的基于圖形的分析功能:模擬、數(shù)字和混合瞬時(shí)圖形;頻率;轉(zhuǎn)換;噪聲;失真;付立葉;交流、直流和音頻曲線;
模擬信號(hào)發(fā)生器包括直流、正旋、脈沖、分段線性、音頻、指數(shù)、單頻FM;數(shù)字信號(hào)發(fā)生器包括尖脈沖、脈沖、時(shí)鐘和碼流;
集成PROTEUS PCB設(shè)計(jì)形成完整的電子設(shè)計(jì)系統(tǒng)。
在設(shè)計(jì)中您可以使顯示如LED/LCD和輸入如開(kāi)關(guān)/按鈕等相互作用,仿真是在幾乎實(shí)時(shí)狀態(tài)下進(jìn)行的:一臺(tái)300 MHz Pentium II 能實(shí)時(shí)仿真一個(gè)時(shí)鐘超過(guò)12MHz的8051系統(tǒng)。Proteus VSM亦能提供擴(kuò)展的調(diào)試能力包括 在匯編或C等高級(jí)語(yǔ)言下設(shè)置斷點(diǎn)、單步和顯示變量。 VSM架構(gòu)允許任何人包括用戶(hù)附加動(dòng)態(tài)模型,很多類(lèi)型的動(dòng)態(tài)模型無(wú)需編程就可創(chuàng)建,開(kāi)發(fā)者將被提供一個(gè)文件化的界面來(lái)寫(xiě)他們自己的模型類(lèi)似Windows DLL。 這些模型既能實(shí)現(xiàn)純粹電子特征,又能組合圖形化特征,以致幾乎所有特定外設(shè)的應(yīng)用都能被仿真。
下載該資料的人也在下載 下載該資料的人還在閱讀
更多 >

評(píng)論

查看更多

下載排行

本周

  1. 1電子電路原理第七版PDF電子教材免費(fèi)下載
  2. 0.00 MB  |  1491次下載  |  免費(fèi)
  3. 2單片機(jī)典型實(shí)例介紹
  4. 18.19 MB  |  95次下載  |  1 積分
  5. 3S7-200PLC編程實(shí)例詳細(xì)資料
  6. 1.17 MB  |  27次下載  |  1 積分
  7. 4筆記本電腦主板的元件識(shí)別和講解說(shuō)明
  8. 4.28 MB  |  18次下載  |  4 積分
  9. 5開(kāi)關(guān)電源原理及各功能電路詳解
  10. 0.38 MB  |  11次下載  |  免費(fèi)
  11. 6100W短波放大電路圖
  12. 0.05 MB  |  4次下載  |  3 積分
  13. 7基于單片機(jī)和 SG3525的程控開(kāi)關(guān)電源設(shè)計(jì)
  14. 0.23 MB  |  4次下載  |  免費(fèi)
  15. 8基于AT89C2051/4051單片機(jī)編程器的實(shí)驗(yàn)
  16. 0.11 MB  |  4次下載  |  免費(fèi)

本月

  1. 1OrCAD10.5下載OrCAD10.5中文版軟件
  2. 0.00 MB  |  234313次下載  |  免費(fèi)
  3. 2PADS 9.0 2009最新版 -下載
  4. 0.00 MB  |  66304次下載  |  免費(fèi)
  5. 3protel99下載protel99軟件下載(中文版)
  6. 0.00 MB  |  51209次下載  |  免費(fèi)
  7. 4LabView 8.0 專(zhuān)業(yè)版下載 (3CD完整版)
  8. 0.00 MB  |  51043次下載  |  免費(fèi)
  9. 5555集成電路應(yīng)用800例(新編版)
  10. 0.00 MB  |  33562次下載  |  免費(fèi)
  11. 6接口電路圖大全
  12. 未知  |  30320次下載  |  免費(fèi)
  13. 7Multisim 10下載Multisim 10 中文版
  14. 0.00 MB  |  28588次下載  |  免費(fèi)
  15. 8開(kāi)關(guān)電源設(shè)計(jì)實(shí)例指南
  16. 未知  |  21539次下載  |  免費(fèi)

總榜

  1. 1matlab軟件下載入口
  2. 未知  |  935053次下載  |  免費(fèi)
  3. 2protel99se軟件下載(可英文版轉(zhuǎn)中文版)
  4. 78.1 MB  |  537793次下載  |  免費(fèi)
  5. 3MATLAB 7.1 下載 (含軟件介紹)
  6. 未知  |  420026次下載  |  免費(fèi)
  7. 4OrCAD10.5下載OrCAD10.5中文版軟件
  8. 0.00 MB  |  234313次下載  |  免費(fèi)
  9. 5Altium DXP2002下載入口
  10. 未知  |  233046次下載  |  免費(fèi)
  11. 6電路仿真軟件multisim 10.0免費(fèi)下載
  12. 340992  |  191183次下載  |  免費(fèi)
  13. 7十天學(xué)會(huì)AVR單片機(jī)與C語(yǔ)言視頻教程 下載
  14. 158M  |  183277次下載  |  免費(fèi)
  15. 8proe5.0野火版下載(中文版免費(fèi)下載)
  16. 未知  |  138039次下載  |  免費(fèi)