電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示
創(chuàng)作
電子發(fā)燒友網(wǎng)>電子資料下載>可編程邏輯>FPGA/ASIC>復(fù)雜數(shù)字邏輯系統(tǒng)的Verilog HDL設(shè)計(jì)技術(shù)和方法的PDF電子書(shū)免費(fèi)下載

復(fù)雜數(shù)字邏輯系統(tǒng)的Verilog HDL設(shè)計(jì)技術(shù)和方法的PDF電子書(shū)免費(fèi)下載

2019-09-09 | pdf | 4.51 MB | 次下載 | 2積分

資料介紹

  本文檔的主要內(nèi)容詳細(xì)介紹的是從算法設(shè)計(jì)到硬線邏輯的實(shí)現(xiàn):復(fù)雜數(shù)字邏輯系統(tǒng)的Verilog HDL設(shè)計(jì)技術(shù)和方法PDF電子書(shū)免費(fèi)下載。

  本書(shū)從算法和計(jì)算的基本概念出發(fā),講述把復(fù)雜算法逐步分解成簡(jiǎn)單的操作步驟,最后由硬線邏輯電路系統(tǒng)來(lái)實(shí)現(xiàn)該算法的技術(shù)和方法。這種硬線邏輯電路系統(tǒng)就是廣泛應(yīng)用于各種現(xiàn)代通訊電子設(shè)備與計(jì)算機(jī)系統(tǒng)中的專用集成電路ASIC) 或FPGA。本書(shū)著重介紹進(jìn)入九十年代后才開(kāi)始在美國(guó)等先進(jìn)的工業(yè)國(guó)家逐步推廣的用硬件描述語(yǔ)言(Verilog HDL)建模、仿真和綜合的設(shè)計(jì)方法和技術(shù)。本書(shū)可作為電子或計(jì)算機(jī)類(lèi)大學(xué)本科高年極和研究生的教材,也可供在數(shù)字系統(tǒng)設(shè)計(jì)領(lǐng)域工作的工程師參考或作為自學(xué)教材。

  本書(shū)中有關(guān)數(shù)字邏輯系統(tǒng)的設(shè)計(jì)方法采用了九十年代初才開(kāi)始在美國(guó)等先進(jìn)的I業(yè)國(guó)家逐步推廣的硬件描述語(yǔ)言(Verilog HDL) Top Down設(shè)計(jì)方法。全書(shū)共分為十章,第一章為數(shù)字信號(hào)處理、計(jì)算、程序、算法和硬線邏輯的基本概念,第二章為Verilog HDL設(shè)計(jì)方法概述,第三章為Verilog HDL 的基本語(yǔ)法,第四章為不同抽象級(jí)別的Verilog HDL模型,第五章為基本運(yùn)算邏輯和它們的Verilog HDL模型,第六章為運(yùn)算和數(shù)據(jù)流動(dòng)控制邏輯,第七章為有限狀態(tài)機(jī)和可綜合風(fēng)格的Verilog HDL,第八章為可綜合的Verilog HDL設(shè)計(jì)實(shí)例(簡(jiǎn)化的RISC CPU設(shè)計(jì)簡(jiǎn)介),第九章為虛擬器件和虛擬接口模塊。第十章為設(shè)計(jì)練習(xí)進(jìn)階。每章后都附有思考題,可幫助讀者加深理解該章講述的概念和方法。在附錄中還有符合IEE1364-95標(biāo)準(zhǔn)的VerilogHDL 語(yǔ)法的中文譯本資料,可供參考。本書(shū)面向的對(duì)象是大學(xué)電子類(lèi)和計(jì)算機(jī)工程類(lèi)本科高年級(jí)學(xué)生和研究生,以及在專用數(shù)字電路與系統(tǒng)設(shè)計(jì)領(lǐng)域工作的工程師們。閱讀本書(shū)所需的基礎(chǔ)知識(shí)是數(shù)字電路基礎(chǔ)、C語(yǔ)言編程基礎(chǔ)知識(shí)和最基本的信號(hào)處理知識(shí)。講述的重點(diǎn)是數(shù)字電路與系統(tǒng)的Verilog HDL建?;痉椒?,其中包括用于仿真的和用于綜合的模塊建模。掌握了這種基本方法后,就可以設(shè)計(jì)極其復(fù)雜的硬線(hard-wired)數(shù)字邏輯電路與系統(tǒng),如實(shí)時(shí)數(shù)字信號(hào)處理(DSP)電路系統(tǒng)。書(shū)中各章中都有大量的例題,可以幫助讀者理解書(shū)中的基本概念并掌握從簡(jiǎn)單到非常復(fù)雜的各種風(fēng)格模塊的設(shè)計(jì)技術(shù)。因?yàn)楸緯?shū)的內(nèi)容是獨(dú)立于開(kāi)發(fā)環(huán)境的,所以本書(shū)中并不介紹具體工具的使用,只介紹有關(guān)Verilog HDL建模、仿真和綜合以及TOP-DOWN等現(xiàn)代設(shè)計(jì)思想、技術(shù)、方法和要點(diǎn)。本書(shū)的目的是用最少的經(jīng)費(fèi)盡快培養(yǎng)和造就一批掌握這種九十年代設(shè)計(jì)思想和方法的跨世紀(jì)人才。這些人才的涌現(xiàn)無(wú)疑會(huì)迅速地縮小我國(guó)與美國(guó)等技術(shù)先進(jìn)國(guó)家在復(fù)雜數(shù)字系統(tǒng)設(shè)計(jì)領(lǐng)域的差距。

  數(shù)字信號(hào)處理(DSP)系統(tǒng)的研究人員一直在努力尋找各種優(yōu)化的算法來(lái)解決相關(guān)的信號(hào)處理問(wèn)題。當(dāng)他們產(chǎn)生了比較理想的算法思路后,就在計(jì)算機(jī)上用C或其他語(yǔ)言,通過(guò)編寫(xiě)并運(yùn)行程序來(lái)驗(yàn)證該算法,并不斷修改程序以期完善,然后與別的算法作性能比較。在現(xiàn)代通信和計(jì)算機(jī)系統(tǒng)中對(duì)于DSP算法評(píng)價(jià)最重要的指標(biāo)是看它能否滿足工程上的需要。而許多工程上的需要都有實(shí)時(shí)響應(yīng)的要求,也就是需要數(shù)字信號(hào)處理(DSP)系統(tǒng)在限定的時(shí)間內(nèi),如在幾個(gè)毫秒甚至于幾個(gè)微秒內(nèi),對(duì)所輸入的大量數(shù)據(jù)完成相當(dāng)復(fù)雜的運(yùn)算,并輸出結(jié)果。這時(shí)如果我們僅僅使用通用的微處理器,即使是專用于信號(hào)處理的微處理器,也往往無(wú)法滿足實(shí)時(shí)響應(yīng)的要求。我們不得不設(shè)計(jì)專用的高速硬線邏輯來(lái)完成這樣的運(yùn)算。設(shè)計(jì)這樣的有苛刻實(shí)時(shí)要求的復(fù)雜的高速硬線運(yùn)算邏輯是一件很有挑戰(zhàn)性的工作,即使有了好的算法而沒(méi)有好的設(shè)計(jì)工具和方法也很難完成。

下載該資料的人也在下載 下載該資料的人還在閱讀
更多 >

評(píng)論

查看更多

下載排行

本周

  1. 1電子電路原理第七版PDF電子教材免費(fèi)下載
  2. 0.00 MB  |  1491次下載  |  免費(fèi)
  3. 2單片機(jī)典型實(shí)例介紹
  4. 18.19 MB  |  95次下載  |  1 積分
  5. 3S7-200PLC編程實(shí)例詳細(xì)資料
  6. 1.17 MB  |  27次下載  |  1 積分
  7. 4筆記本電腦主板的元件識(shí)別和講解說(shuō)明
  8. 4.28 MB  |  18次下載  |  4 積分
  9. 5開(kāi)關(guān)電源原理及各功能電路詳解
  10. 0.38 MB  |  11次下載  |  免費(fèi)
  11. 6100W短波放大電路圖
  12. 0.05 MB  |  4次下載  |  3 積分
  13. 7基于單片機(jī)和 SG3525的程控開(kāi)關(guān)電源設(shè)計(jì)
  14. 0.23 MB  |  4次下載  |  免費(fèi)
  15. 8基于AT89C2051/4051單片機(jī)編程器的實(shí)驗(yàn)
  16. 0.11 MB  |  4次下載  |  免費(fèi)

本月

  1. 1OrCAD10.5下載OrCAD10.5中文版軟件
  2. 0.00 MB  |  234313次下載  |  免費(fèi)
  3. 2PADS 9.0 2009最新版 -下載
  4. 0.00 MB  |  66304次下載  |  免費(fèi)
  5. 3protel99下載protel99軟件下載(中文版)
  6. 0.00 MB  |  51209次下載  |  免費(fèi)
  7. 4LabView 8.0 專業(yè)版下載 (3CD完整版)
  8. 0.00 MB  |  51043次下載  |  免費(fèi)
  9. 5555集成電路應(yīng)用800例(新編版)
  10. 0.00 MB  |  33562次下載  |  免費(fèi)
  11. 6接口電路圖大全
  12. 未知  |  30320次下載  |  免費(fèi)
  13. 7Multisim 10下載Multisim 10 中文版
  14. 0.00 MB  |  28588次下載  |  免費(fèi)
  15. 8開(kāi)關(guān)電源設(shè)計(jì)實(shí)例指南
  16. 未知  |  21539次下載  |  免費(fèi)

總榜

  1. 1matlab軟件下載入口
  2. 未知  |  935053次下載  |  免費(fèi)
  3. 2protel99se軟件下載(可英文版轉(zhuǎn)中文版)
  4. 78.1 MB  |  537793次下載  |  免費(fèi)
  5. 3MATLAB 7.1 下載 (含軟件介紹)
  6. 未知  |  420026次下載  |  免費(fèi)
  7. 4OrCAD10.5下載OrCAD10.5中文版軟件
  8. 0.00 MB  |  234313次下載  |  免費(fèi)
  9. 5Altium DXP2002下載入口
  10. 未知  |  233046次下載  |  免費(fèi)
  11. 6電路仿真軟件multisim 10.0免費(fèi)下載
  12. 340992  |  191183次下載  |  免費(fèi)
  13. 7十天學(xué)會(huì)AVR單片機(jī)與C語(yǔ)言視頻教程 下載
  14. 158M  |  183277次下載  |  免費(fèi)
  15. 8proe5.0野火版下載(中文版免費(fèi)下載)
  16. 未知  |  138039次下載  |  免費(fèi)