電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示
創(chuàng)作
電子發(fā)燒友網(wǎng)>電子資料下載>可編程邏輯>FPGA/ASIC>使用SDR實(shí)現(xiàn)自適應(yīng)泛速率復(fù)分接及糾錯(cuò)編碼的設(shè)計(jì)

使用SDR實(shí)現(xiàn)自適應(yīng)泛速率復(fù)分接及糾錯(cuò)編碼的設(shè)計(jì)

2020-01-10 | rar | 11.12 MB | 次下載 | 免費(fèi)

資料介紹

  軟件無(wú)線電技術(shù)的主要思想是,在通用的硬件平臺(tái)上通過(guò)軟件加載和重構(gòu)實(shí)現(xiàn)多頻段、多模式、多標(biāo)準(zhǔn)的通信,使不同電臺(tái)互聯(lián)互通。由于SDR(軟件無(wú)線電)平臺(tái)具有數(shù)字化可編程的性能特點(diǎn),因此在面對(duì)不同用戶的不同需求時(shí),或者在面對(duì)不同系統(tǒng)間進(jìn)行連接操作時(shí),只要相應(yīng)地更改配置軟件模塊,就能實(shí)現(xiàn)互通。但是現(xiàn)在通常研究的軟件無(wú)線電內(nèi)容或者僅停留在數(shù)學(xué)模型仿真階段,又或者是停留在調(diào)制解調(diào),編譯碼等常規(guī)模式的研究實(shí)現(xiàn)上,在面對(duì)未來(lái)通信技術(shù)的發(fā)展趨勢(shì)時(shí),尚不能完全體現(xiàn)其通用性,靈活性及開(kāi)放性。而且,大多數(shù)軟件無(wú)線電平臺(tái)只能對(duì)一路標(biāo)準(zhǔn)碼速的數(shù)據(jù)進(jìn)行調(diào)制解調(diào),不能實(shí)現(xiàn)多路數(shù)據(jù)的傳輸,因此本課題在研究傳統(tǒng)模式的內(nèi)容上對(duì)僅能實(shí)現(xiàn)標(biāo)準(zhǔn)碼速數(shù)字信號(hào)進(jìn)行處理的SDR平臺(tái),做出了進(jìn)一步的改良和拓展,完成了對(duì)更多非標(biāo)準(zhǔn)數(shù)據(jù)的處理和融合,最終實(shí)現(xiàn)了對(duì)多路不同碼速數(shù)據(jù)的復(fù)分接。

  具體來(lái)說(shuō),本課題通過(guò)對(duì)FPGA芯片進(jìn)行Verilog語(yǔ)言編程,設(shè)計(jì)了自適應(yīng)泛速率多路數(shù)據(jù)綜合方案,在發(fā)送端將7路碼速率介于32Kb/s-220Kb/s的數(shù)據(jù)復(fù)接成一路2.048Mb/s的標(biāo)準(zhǔn)基群碼流,并依靠SDR軟件無(wú)線電平臺(tái)的調(diào)制功能將其發(fā)送出去,而在接收端則相應(yīng)實(shí)現(xiàn)了對(duì)發(fā)送端數(shù)據(jù)的無(wú)誤還原。經(jīng)過(guò)不斷實(shí)踐和改進(jìn),本課題在碼速率檢測(cè),跨時(shí)鐘域緩存讀寫等方面進(jìn)行了創(chuàng)新。此外,本課題還著重對(duì)數(shù)據(jù)傳輸組幀技術(shù),同步技術(shù),糾錯(cuò)編碼以及基于SDR軟件無(wú)線電的DDS(數(shù)字頻率合成)調(diào)制信號(hào)源等技術(shù)的應(yīng)用進(jìn)行了研究。

下載該資料的人也在下載 下載該資料的人還在閱讀
更多 >

評(píng)論

查看更多

下載排行

本周

  1. 1電子電路原理第七版PDF電子教材免費(fèi)下載
  2. 0.00 MB  |  1491次下載  |  免費(fèi)
  3. 2單片機(jī)典型實(shí)例介紹
  4. 18.19 MB  |  95次下載  |  1 積分
  5. 3S7-200PLC編程實(shí)例詳細(xì)資料
  6. 1.17 MB  |  27次下載  |  1 積分
  7. 4筆記本電腦主板的元件識(shí)別和講解說(shuō)明
  8. 4.28 MB  |  18次下載  |  4 積分
  9. 5開(kāi)關(guān)電源原理及各功能電路詳解
  10. 0.38 MB  |  11次下載  |  免費(fèi)
  11. 6100W短波放大電路圖
  12. 0.05 MB  |  4次下載  |  3 積分
  13. 7基于單片機(jī)和 SG3525的程控開(kāi)關(guān)電源設(shè)計(jì)
  14. 0.23 MB  |  4次下載  |  免費(fèi)
  15. 8基于AT89C2051/4051單片機(jī)編程器的實(shí)驗(yàn)
  16. 0.11 MB  |  4次下載  |  免費(fèi)

本月

  1. 1OrCAD10.5下載OrCAD10.5中文版軟件
  2. 0.00 MB  |  234313次下載  |  免費(fèi)
  3. 2PADS 9.0 2009最新版 -下載
  4. 0.00 MB  |  66304次下載  |  免費(fèi)
  5. 3protel99下載protel99軟件下載(中文版)
  6. 0.00 MB  |  51209次下載  |  免費(fèi)
  7. 4LabView 8.0 專業(yè)版下載 (3CD完整版)
  8. 0.00 MB  |  51043次下載  |  免費(fèi)
  9. 5555集成電路應(yīng)用800例(新編版)
  10. 0.00 MB  |  33562次下載  |  免費(fèi)
  11. 6接口電路圖大全
  12. 未知  |  30320次下載  |  免費(fèi)
  13. 7Multisim 10下載Multisim 10 中文版
  14. 0.00 MB  |  28588次下載  |  免費(fèi)
  15. 8開(kāi)關(guān)電源設(shè)計(jì)實(shí)例指南
  16. 未知  |  21539次下載  |  免費(fèi)

總榜

  1. 1matlab軟件下載入口
  2. 未知  |  935053次下載  |  免費(fèi)
  3. 2protel99se軟件下載(可英文版轉(zhuǎn)中文版)
  4. 78.1 MB  |  537793次下載  |  免費(fèi)
  5. 3MATLAB 7.1 下載 (含軟件介紹)
  6. 未知  |  420026次下載  |  免費(fèi)
  7. 4OrCAD10.5下載OrCAD10.5中文版軟件
  8. 0.00 MB  |  234313次下載  |  免費(fèi)
  9. 5Altium DXP2002下載入口
  10. 未知  |  233046次下載  |  免費(fèi)
  11. 6電路仿真軟件multisim 10.0免費(fèi)下載
  12. 340992  |  191183次下載  |  免費(fèi)
  13. 7十天學(xué)會(huì)AVR單片機(jī)與C語(yǔ)言視頻教程 下載
  14. 158M  |  183277次下載  |  免費(fèi)
  15. 8proe5.0野火版下載(中文版免費(fèi)下載)
  16. 未知  |  138039次下載  |  免費(fèi)