電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示
創(chuàng)作
電子發(fā)燒友網(wǎng)>電子資料下載>可編程邏輯>FPGA/ASIC>FPGA學(xué)習(xí)教程之硬件設(shè)計(jì)基本概念

FPGA學(xué)習(xí)教程之硬件設(shè)計(jì)基本概念

2020-12-25 | rar | 0.23 MB | 次下載 | 免費(fèi)

資料介紹

  目前在做FPGA移植加速CNN卷積神經(jīng)網(wǎng)絡(luò)Inference相關(guān)的學(xué)習(xí),使用的是Xilinx公司的ZYNQ-7000系列的FPGA開發(fā)板,該博客為記錄相關(guān)學(xué)習(xí)內(nèi)容,如有問題歡迎指教。前面已經(jīng)介紹了關(guān)于FPGA架構(gòu)和基本組成《FPGA學(xué)習(xí)–架構(gòu)和基本組成單元(一)》 ,下面參考Xilinx Vivado官方文檔學(xué)習(xí)硬件設(shè)計(jì)的基本概念。

  概念

  處理器CPU)和FPGA之間的關(guān)鍵區(qū)別之一就是處理架構(gòu)是否固定。這種差異直接影響每個(gè)編譯器的工作方式。處理器CPU的計(jì)算體系結(jié)構(gòu)是固定的,編譯器的工作是確定軟件應(yīng)用程序如何最好地適應(yīng)處理結(jié)構(gòu)。應(yīng)用程序性能取決于應(yīng)用程序映射到處理器功能的程度以及正確執(zhí)行所需的處理器指令數(shù)量。

  相比之下,F(xiàn)PGA類似于帶有一組構(gòu)建塊的空白平板。在的Vivado ?編譯器HLS中,目標(biāo)是從最適合的軟件程序中創(chuàng)建一個(gè)處理架構(gòu)。指導(dǎo)Vivado HLS編譯器創(chuàng)建最佳處理架構(gòu)的過程需要有關(guān)硬件設(shè)計(jì)概念的基礎(chǔ)知識(shí)。

  時(shí)鐘頻率

  CPU的時(shí)鐘頻率是算法執(zhí)行效率的第一考慮因素,一般來說高時(shí)鐘頻率有更高的執(zhí)行效率。雖然這是一般常識(shí),但是如果以時(shí)鐘頻率高低來作為選擇CPU或者FPGA的標(biāo)準(zhǔn),這樣實(shí)際上并不準(zhǔn)確,因?yàn)镃PU與FPGA之間的時(shí)鐘頻率名義上有一定的差異,例如下表中的CUP與FPGA最大時(shí)鐘頻率很常見:

  對(duì)上表分析,其實(shí)并不是CPU的性能是FPGA的四倍,不能簡(jiǎn)單的以時(shí)鐘頻率比較性能。CPU與FPGA性能評(píng)判主要區(qū)別是軟件如何被執(zhí)行,一般來說,CPU是能夠執(zhí)行任何程序的通用平臺(tái),包括CPU和CPU上其他結(jié)構(gòu),軟件必須在該結(jié)構(gòu)上執(zhí)行,編譯后的軟件按照一組指令按順序執(zhí)行,無論CPU類型如何,指令的執(zhí)行始終是相同的,應(yīng)用程序的每條指令都必須經(jīng)過以下階段如下圖1:

  取指令(IF);

  指令解碼(ID);

  執(zhí)行(EXE);

  內(nèi)存操作(MEM);

  回寫(WB)。

下載該資料的人也在下載 下載該資料的人還在閱讀
更多 >

評(píng)論

查看更多

下載排行

本周

  1. 1電子電路原理第七版PDF電子教材免費(fèi)下載
  2. 0.00 MB  |  1491次下載  |  免費(fèi)
  3. 2單片機(jī)典型實(shí)例介紹
  4. 18.19 MB  |  95次下載  |  1 積分
  5. 3S7-200PLC編程實(shí)例詳細(xì)資料
  6. 1.17 MB  |  27次下載  |  1 積分
  7. 4筆記本電腦主板的元件識(shí)別和講解說明
  8. 4.28 MB  |  18次下載  |  4 積分
  9. 5開關(guān)電源原理及各功能電路詳解
  10. 0.38 MB  |  11次下載  |  免費(fèi)
  11. 6100W短波放大電路圖
  12. 0.05 MB  |  4次下載  |  3 積分
  13. 7基于單片機(jī)和 SG3525的程控開關(guān)電源設(shè)計(jì)
  14. 0.23 MB  |  4次下載  |  免費(fèi)
  15. 8基于AT89C2051/4051單片機(jī)編程器的實(shí)驗(yàn)
  16. 0.11 MB  |  4次下載  |  免費(fèi)

本月

  1. 1OrCAD10.5下載OrCAD10.5中文版軟件
  2. 0.00 MB  |  234313次下載  |  免費(fèi)
  3. 2PADS 9.0 2009最新版 -下載
  4. 0.00 MB  |  66304次下載  |  免費(fèi)
  5. 3protel99下載protel99軟件下載(中文版)
  6. 0.00 MB  |  51209次下載  |  免費(fèi)
  7. 4LabView 8.0 專業(yè)版下載 (3CD完整版)
  8. 0.00 MB  |  51043次下載  |  免費(fèi)
  9. 5555集成電路應(yīng)用800例(新編版)
  10. 0.00 MB  |  33562次下載  |  免費(fèi)
  11. 6接口電路圖大全
  12. 未知  |  30320次下載  |  免費(fèi)
  13. 7Multisim 10下載Multisim 10 中文版
  14. 0.00 MB  |  28588次下載  |  免費(fèi)
  15. 8開關(guān)電源設(shè)計(jì)實(shí)例指南
  16. 未知  |  21539次下載  |  免費(fèi)

總榜

  1. 1matlab軟件下載入口
  2. 未知  |  935053次下載  |  免費(fèi)
  3. 2protel99se軟件下載(可英文版轉(zhuǎn)中文版)
  4. 78.1 MB  |  537793次下載  |  免費(fèi)
  5. 3MATLAB 7.1 下載 (含軟件介紹)
  6. 未知  |  420026次下載  |  免費(fèi)
  7. 4OrCAD10.5下載OrCAD10.5中文版軟件
  8. 0.00 MB  |  234313次下載  |  免費(fèi)
  9. 5Altium DXP2002下載入口
  10. 未知  |  233046次下載  |  免費(fèi)
  11. 6電路仿真軟件multisim 10.0免費(fèi)下載
  12. 340992  |  191183次下載  |  免費(fèi)
  13. 7十天學(xué)會(huì)AVR單片機(jī)與C語言視頻教程 下載
  14. 158M  |  183277次下載  |  免費(fèi)
  15. 8proe5.0野火版下載(中文版免費(fèi)下載)
  16. 未知  |  138039次下載  |  免費(fèi)