電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示
創(chuàng)作
電子發(fā)燒友網(wǎng)>電子資料下載>可編程邏輯>FPGA/ASIC>FPGA的資源類型詳細(xì)資料簡(jiǎn)介

FPGA的資源類型詳細(xì)資料簡(jiǎn)介

2020-12-25 | rar | 0.02 MB | 次下載 | 免費(fèi)

資料介紹

  結(jié)合Xilinx、Altera公司FPGA 芯片,簡(jiǎn)要羅列一下FPGA 內(nèi)部的資源或?qū)S媚K,并簡(jiǎn)要說(shuō)明這些資源的一些作用或用途。(至少列出5 項(xiàng),越多越好)

  1. 可編程輸入輸出單元(IOB)

  可編程輸入/輸出單元簡(jiǎn)稱I/O 單元,是芯片與外界電路的接口部分,完成不同電氣特性下對(duì)輸入/輸出信號(hào)的驅(qū)動(dòng)與匹配要求,其示意結(jié)構(gòu)如圖1-2 所示。PGA 內(nèi)的I/O 按組分類,每組都能夠獨(dú)立地支持不同的I/O 標(biāo)準(zhǔn)。通過(guò)軟件的靈活配置,可適配不同的電氣標(biāo)準(zhǔn)與I/O 物理特性,可以調(diào)整驅(qū)動(dòng)電流的大小,可以改變上、下拉電阻。目前,I/O 口的頻率也越來(lái)越高,一些高端的FPGA 通過(guò)DDR 寄存器技術(shù)可以支持高達(dá)2Gbps 的數(shù)據(jù)速率。

  外部輸入信號(hào)可以通過(guò) IOB 模塊的存儲(chǔ)單元輸入到FPGA 的內(nèi)部,也可以直接輸入FPGA 內(nèi)部。當(dāng)外部輸入信號(hào)經(jīng)過(guò)IOB 模塊的存儲(chǔ)單元輸入到FPGA 內(nèi)部時(shí),其保持時(shí)間(Hold Time)的要求可以降低,通常默認(rèn)為0。為了便于管理和適應(yīng)多種電器FPGA 的IOB 被劃分為若干個(gè)組(bank),每個(gè)bank 的接口標(biāo)準(zhǔn)由其接口電壓VCCO 決定,一個(gè)bank 只能有一種VCCO,但不同bank 的VCCO 可以不同。只有相同電氣標(biāo)準(zhǔn)的端口才能連接在一起,VCCO 電壓相同是接口標(biāo)準(zhǔn)的基本條件。

?

  2. 可配置邏輯塊(CLB)

  CLB是FPGA(xilinx)內(nèi)的基本邏輯單元,CLB的實(shí)際數(shù)量和特性會(huì)因?yàn)槠骷牟煌煌?,但是每個(gè)CLB都包含一個(gè)可配置開(kāi)關(guān)矩陣,此矩陣由4或6個(gè)輸入、一些選型電路(MUX)和觸發(fā)器組成。開(kāi)關(guān)矩陣是高度靈活的,可以對(duì)其進(jìn)行配置以組成組合邏輯、移位寄存器或RAM。

  在Xilinx公司的FPGA器件中,CLB有多個(gè)相同的Slice和附加邏輯組成,每個(gè)CLB模塊不僅可以用于實(shí)現(xiàn)組合邏輯、時(shí)序邏輯,還可以配置為分布式RAM和分布式ROM。而在ALTERA公司的FPGA器件中LE(logic elements)是描述內(nèi)部邏輯的基本單元,比如cyclone IV 系列的LE由一個(gè)4輸入LUT+FF構(gòu)成。

  Slice是Xilinx公司定義的基本邏輯單位,一個(gè)Slice由兩個(gè)4輸入的函數(shù)發(fā)生器(LUT)、進(jìn)位邏輯、算數(shù)邏輯、存儲(chǔ)邏輯和函數(shù)復(fù)用器組成。

  而在ALTERA公司的FPGA器件中LE(logic elements)賽靈思 LC 是描述內(nèi)部邏輯的基本單元,比如cyclone IV 系列的LE由一個(gè)4輸入LUT+FF構(gòu)成。

  3. 數(shù)字時(shí)鐘管理模塊(DCM)

  業(yè)內(nèi)大多數(shù) FPGA 均提供數(shù)字時(shí)鐘管理(Xilinx 的全部FPGA 均具有這種特性)。Xilinx 推出最先進(jìn)的FPGA 提供數(shù)字時(shí)鐘管理和相位環(huán)路鎖定。相位環(huán)鎖定能夠提供精確的時(shí)鐘綜合,且能夠降低抖動(dòng),并實(shí)現(xiàn)過(guò)濾功能。

  4. 嵌入式塊 RAM(BRAM)

  大多數(shù) FPGA 都具有內(nèi)嵌的塊RAM,這大大拓展了FPGA 的應(yīng)用范圍和靈活性。塊RAM 可被配置為單端口RAM、雙端口RAM、內(nèi)容地址存儲(chǔ)器(CAM)以及FIFO 等常用存儲(chǔ)結(jié)構(gòu)。可以根據(jù)需要改變其位寬和深度,但要滿足兩個(gè)原則:首先,修改后的容量(位寬深度)不能大于 18k 比特;其次,位寬最大不能超過(guò)36 比特。當(dāng)然,可以將多片塊RAM 級(jí)聯(lián)起來(lái)形成更大的RAM,此時(shí)只受限于芯片內(nèi)塊RAM 的數(shù)量,而不再受上面兩條原則約束。

  FPGA芯片內(nèi)有兩種存儲(chǔ)器資源:一種叫block ram,另一種是由LUT配置成的內(nèi)部存儲(chǔ)器(也就是分布式ram)。Block ram由一定數(shù)量固定大小的存儲(chǔ)塊構(gòu)成的,使用BLOCK RAM資源不占用額外的邏輯資源,并且速度快。但是使用的時(shí)候消耗的BLOCK RAM資源是其塊大小的整數(shù)倍。

下載該資料的人也在下載 下載該資料的人還在閱讀
更多 >

評(píng)論

查看更多

下載排行

本周

  1. 1電子電路原理第七版PDF電子教材免費(fèi)下載
  2. 0.00 MB  |  1491次下載  |  免費(fèi)
  3. 2單片機(jī)典型實(shí)例介紹
  4. 18.19 MB  |  95次下載  |  1 積分
  5. 3S7-200PLC編程實(shí)例詳細(xì)資料
  6. 1.17 MB  |  27次下載  |  1 積分
  7. 4筆記本電腦主板的元件識(shí)別和講解說(shuō)明
  8. 4.28 MB  |  18次下載  |  4 積分
  9. 5開(kāi)關(guān)電源原理及各功能電路詳解
  10. 0.38 MB  |  11次下載  |  免費(fèi)
  11. 6100W短波放大電路圖
  12. 0.05 MB  |  4次下載  |  3 積分
  13. 7基于單片機(jī)和 SG3525的程控開(kāi)關(guān)電源設(shè)計(jì)
  14. 0.23 MB  |  4次下載  |  免費(fèi)
  15. 8基于AT89C2051/4051單片機(jī)編程器的實(shí)驗(yàn)
  16. 0.11 MB  |  4次下載  |  免費(fèi)

本月

  1. 1OrCAD10.5下載OrCAD10.5中文版軟件
  2. 0.00 MB  |  234313次下載  |  免費(fèi)
  3. 2PADS 9.0 2009最新版 -下載
  4. 0.00 MB  |  66304次下載  |  免費(fèi)
  5. 3protel99下載protel99軟件下載(中文版)
  6. 0.00 MB  |  51209次下載  |  免費(fèi)
  7. 4LabView 8.0 專業(yè)版下載 (3CD完整版)
  8. 0.00 MB  |  51043次下載  |  免費(fèi)
  9. 5555集成電路應(yīng)用800例(新編版)
  10. 0.00 MB  |  33562次下載  |  免費(fèi)
  11. 6接口電路圖大全
  12. 未知  |  30320次下載  |  免費(fèi)
  13. 7Multisim 10下載Multisim 10 中文版
  14. 0.00 MB  |  28588次下載  |  免費(fèi)
  15. 8開(kāi)關(guān)電源設(shè)計(jì)實(shí)例指南
  16. 未知  |  21539次下載  |  免費(fèi)

總榜

  1. 1matlab軟件下載入口
  2. 未知  |  935053次下載  |  免費(fèi)
  3. 2protel99se軟件下載(可英文版轉(zhuǎn)中文版)
  4. 78.1 MB  |  537793次下載  |  免費(fèi)
  5. 3MATLAB 7.1 下載 (含軟件介紹)
  6. 未知  |  420026次下載  |  免費(fèi)
  7. 4OrCAD10.5下載OrCAD10.5中文版軟件
  8. 0.00 MB  |  234313次下載  |  免費(fèi)
  9. 5Altium DXP2002下載入口
  10. 未知  |  233046次下載  |  免費(fèi)
  11. 6電路仿真軟件multisim 10.0免費(fèi)下載
  12. 340992  |  191183次下載  |  免費(fèi)
  13. 7十天學(xué)會(huì)AVR單片機(jī)與C語(yǔ)言視頻教程 下載
  14. 158M  |  183277次下載  |  免費(fèi)
  15. 8proe5.0野火版下載(中文版免費(fèi)下載)
  16. 未知  |  138039次下載  |  免費(fèi)