電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示
創(chuàng)作
電子發(fā)燒友網(wǎng)>電子資料下載>嵌入式開發(fā)>ModelSim全套中文手冊

ModelSim全套中文手冊

2010-02-11 | rar | 1126 | 次下載 | 10積分

資料介紹

ModelSim全套中文手冊

這是獻(xiàn)給大家的第二篇,文章詳細(xì)說明了本人近一年來,自己摸索出來的一套仿真工作流程。接觸過Modelsim這類軟件的朋友可能都會感覺上手比較困難,原因有二:一、對仿真機(jī)制不了解,對基于source+testbench的工作流程不熟悉(大多數(shù)朋友接觸FPGA仿真可能以waveform的方式);二、對軟件的安裝和使用不熟悉,Modelsim軟件破解和平時常用軟件相比要麻煩一些,也不像常用軟件那樣易于上手。即使入門了,也不一定能夠熟練使用,相信很多朋友后仿過程中都碰到過back-annotation error的問題:)與大家一樣,我也是這樣一步步摸索出來的……
?
  設(shè)計語言完全采用verilog, 設(shè)計工具采用ModelSim + Debussy。目前我的工作平臺是Windows, 使用的版本是ModelSim6.2a + Debussy5.3v9。

????? 為了便于管理,在文件夾的管理上采用分級管理。舉一個例子:如果頂層模塊是A1;A1劃分為B1,B2,B3;B1又劃分為C1,C2,B2劃分為C3, C4,B3劃分為C5,C6。那么一共建立10個文件夾,分別命名為A1, B1, B2, B3, C1, C2, C3, C4, C5, C6。在各文件夾中存放相應(yīng)的設(shè)計源文件.v和testbench文件.v,這樣可以避免單個文件夾中文件過多,給管理上帶來不便。

????? ModelSim有三種操作模式:GUI、Command-line和Batch。GUI模式比較麻煩,又要敲鍵盤,又要點(diǎn)鼠標(biāo),對我這樣的懶人不合適:)而且初學(xué)者在做后仿的時候,.sdf文件的back-annotation比較難掌握。我推薦的是采用編寫.do文件或.bat文件的工作方式。. do文件的編寫可以參照ISE自動生成的.fdo(功能仿真)和.tdo(后仿)文件。通過ISE調(diào)用ModelSim進(jìn)行仿真,在工程的文件夾下會生成 *.fdo和*.tdo的文件,用編輯器打開文件查看,是諸如此類的一些命令:
??? ## NOTE: Do not edit this file.
??? ## Auto generated by Project Navigator for Post-PAR Simulation
??? ##
??? vlib work
??? ## Compile Post-PAR Model
??? vlog? "C:/test/netgen/par/shift_reg_timesim.v"
??? vlog? "testbench.v"
??? vlog? "C:/Xilinx/verilog/src/glbl.v"
??? vsim -novopt +maxdelays? -L simprims_ver -lib work testbench glbl
??? do {testbench.udo}
??? view wave
??? add wave *
??? add wave .glbl.GSR
??? view structure
??? view signals
??? run 10us
??? ## End
????? 這些命令并不一定都要寫上,可以根據(jù)自己的需要加以精簡,比如一個功能仿真的fsim.do文件如下所示:
???
??? vlib work
??? vlog? "PCMSyn.v"
??? vlog? "Timing.v"
??? vlog? "Search.v"
??? vlog? "FSM.v"
??? vlog? "test.v"
??? vsim -t 1ns -lib work test
??? view wave
??? add wave *
??? view structure
??? view signals
??? run 4ms
????? 用ModelSim也可以查看波形圖和進(jìn)行調(diào)試,但是Debussy查錯比較方便,下面說明如何利用ModelSim和Debussy進(jìn)行仿真和調(diào)試。
????? 在testbench文件中initial begin后需添加兩條語句:
??? $fsdbDumpfile("test.fsdb");???? //文件名隨便起
??? $fsdbDumpvars;

????? 點(diǎn)擊圖標(biāo)或在cmd下敲vsim啟動ModelSim GUI,在Transcript窗口cd到該模塊的文件夾下,運(yùn)行do *.do(*.do為仿真的do文件,比如fsim.do)。ModelSim有個不好的地方,如果仿真波形不對,可能需要查看中間信號以便定位錯誤,這時用add wave命令添加中間信號后,需要重新run一次,才能看到這些中間信號的值。而采用Debussy查看ModelSim生成的.fsdb文件的話,添加信號后立即就可看到值,給調(diào)試帶來很大的方便。另外,Debussy還有個很有用的功能叫做active annotation,在nWave窗口點(diǎn)擊波形圖上的不同時間點(diǎn),nTrace和nScheme的源代碼和示意圖上的表示出的信號值會隨之變化,也大大方便了查錯。關(guān)于Debussy的使用方法,請大家參考幫助文檔,我只結(jié)合自己使用的經(jīng)驗強(qiáng)調(diào)2點(diǎn):
????? 1.在打開.fsdb文件之前,先在nTrace窗口Import Design一下,選擇From File,Add所有相關(guān)的設(shè)計源文件和testbench文件,否則在nWave窗口打開.fsdb文件,用Get Signal添加信號后會顯示NF。另外,在Get Signal之前請將ModelSim關(guān)掉,否則好像還是會顯示NF。
????? 2.再強(qiáng)調(diào)一遍,Import Design的時候要將testbench文件也添加進(jìn)去,否則啟動active annotation后,所有信號都會顯示NF!這個問題曾郁悶了我很久,所以特別提出來。

????? 可能大家都發(fā)現(xiàn)ModelSim的主要作用是產(chǎn)生.fsdb文件,我們并沒有用它來進(jìn)行查錯,完全沒必要啟動GUI。這個問題我思考了很久,最后在網(wǎng)友 hamamdu的幫助下解決了這個問題。用文本編輯器生成一個.bat文件,文件內(nèi)容和.do文件差不多,不過語句到vsim命令為止,此外vsim命令也要做一些修改,添加-c參數(shù)。下面給出一個.bat的例子:
??? vlib work
??? vlog? "PCMSyn.v"
??? vlog? "Timing.v"
??? vlog? "Search.v"
??? vlog? "FSM.v"
??? vlog? "test.v"
??? vsim -c work.test
????? 運(yùn)行這個.bat文件后,將會出現(xiàn)VSIM 1>提示,這時輸入run 4ms,回車,將提示fsdb文件產(chǎn)生好了。
????? 經(jīng)我試驗,開啟Optimization后,對Command-line操作模式?jīng)]有影響,但是最后一條語句不可寫成vsim -c test,雖然這樣也可產(chǎn)生fsdb文件,但是啟動active annotation后Debussy軟件會報錯:(
????? 寫的比較倉促,大家試驗中發(fā)現(xiàn)什么問題,請及時提出來:)

下載該資料的人也在下載 下載該資料的人還在閱讀
更多 >

評論

查看更多

下載排行

本周

  1. 1TC358743XBG評估板參考手冊
  2. 1.36 MB  |  330次下載  |  免費(fèi)
  3. 2開關(guān)電源基礎(chǔ)知識
  4. 5.73 MB  |  6次下載  |  免費(fèi)
  5. 3100W短波放大電路圖
  6. 0.05 MB  |  4次下載  |  3 積分
  7. 4嵌入式linux-聊天程序設(shè)計
  8. 0.60 MB  |  3次下載  |  免費(fèi)
  9. 5基于FPGA的光纖通信系統(tǒng)的設(shè)計與實現(xiàn)
  10. 0.61 MB  |  2次下載  |  免費(fèi)
  11. 6基于FPGA的C8051F單片機(jī)開發(fā)板設(shè)計
  12. 0.70 MB  |  2次下載  |  免費(fèi)
  13. 751單片機(jī)窗簾控制器仿真程序
  14. 1.93 MB  |  2次下載  |  免費(fèi)
  15. 8基于51單片機(jī)的RGB調(diào)色燈程序仿真
  16. 0.86 MB  |  2次下載  |  免費(fèi)

本月

  1. 1OrCAD10.5下載OrCAD10.5中文版軟件
  2. 0.00 MB  |  234315次下載  |  免費(fèi)
  3. 2555集成電路應(yīng)用800例(新編版)
  4. 0.00 MB  |  33564次下載  |  免費(fèi)
  5. 3接口電路圖大全
  6. 未知  |  30323次下載  |  免費(fèi)
  7. 4開關(guān)電源設(shè)計實例指南
  8. 未知  |  21548次下載  |  免費(fèi)
  9. 5電氣工程師手冊免費(fèi)下載(新編第二版pdf電子書)
  10. 0.00 MB  |  15349次下載  |  免費(fèi)
  11. 6數(shù)字電路基礎(chǔ)pdf(下載)
  12. 未知  |  13750次下載  |  免費(fèi)
  13. 7電子制作實例集錦 下載
  14. 未知  |  8113次下載  |  免費(fèi)
  15. 8《LED驅(qū)動電路設(shè)計》 溫德爾著
  16. 0.00 MB  |  6653次下載  |  免費(fèi)

總榜

  1. 1matlab軟件下載入口
  2. 未知  |  935054次下載  |  免費(fèi)
  3. 2protel99se軟件下載(可英文版轉(zhuǎn)中文版)
  4. 78.1 MB  |  537796次下載  |  免費(fèi)
  5. 3MATLAB 7.1 下載 (含軟件介紹)
  6. 未知  |  420026次下載  |  免費(fèi)
  7. 4OrCAD10.5下載OrCAD10.5中文版軟件
  8. 0.00 MB  |  234315次下載  |  免費(fèi)
  9. 5Altium DXP2002下載入口
  10. 未知  |  233046次下載  |  免費(fèi)
  11. 6電路仿真軟件multisim 10.0免費(fèi)下載
  12. 340992  |  191185次下載  |  免費(fèi)
  13. 7十天學(xué)會AVR單片機(jī)與C語言視頻教程 下載
  14. 158M  |  183278次下載  |  免費(fèi)
  15. 8proe5.0野火版下載(中文版免費(fèi)下載)
  16. 未知  |  138040次下載  |  免費(fèi)