電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示
創(chuàng)作
電子發(fā)燒友網(wǎng)>電子資料下載>嵌入式開發(fā)>關(guān)于互連時(shí)序模型與布線長度分析

關(guān)于互連時(shí)序模型與布線長度分析

2017-10-15 | rar | 0.5 MB | 次下載 | 1積分

資料介紹

 高速數(shù)字電路互連時(shí)序模型與布線長度分析
  高速電路設(shè)計(jì)領(lǐng)域,關(guān)于布線有一種幾乎是公理的認(rèn)識(shí),即“等長”走線,認(rèn)為走線只要等長就一定滿足時(shí)序需求,就不會(huì)存在時(shí)序問題。本文對(duì)常用高速器件的互連時(shí)序建立模型,并給出一般性的時(shí)序分析公式。為體現(xiàn)具體問題具體分析的原則,避免將公式當(dāng)成萬能公式,文中給出了MII、RMII、RGMII和SPI的實(shí)例分析。實(shí)例分析中,結(jié)合使用公式分析和理論分析兩種方法,以實(shí)例證明公式的局限性和兩種方法的利弊。本文最后還基于這些實(shí)例分析,給出了SDRAMDDR SDRAM等布線的一般性原則。
  本文通過實(shí)例指明時(shí)序分析的關(guān)鍵在于:對(duì)具體時(shí)序理解透徹的基礎(chǔ)上,具體問題具體分析,不能一味的套用公式,更不是通過走線的等長來解決時(shí)序問題。
  1.典型高速器件互連時(shí)序模型
  圖1給出通用高速器件互連接口簡(jiǎn)化模型。圖中,左側(cè)虛線框表示通信器件雙方的主控端。常見的實(shí)際情形有:SDRAM控制器、SPI主控制器等。經(jīng)過適當(dāng)?shù)难莼诒灸P秃苋菀椎玫?a href='http://www.ttokpm.com/tags/i2c/' target='_blank' class='arckwlink_none'>I2C主控端、MII接口的TX組模型、RMII共享時(shí)鐘模型以及DDR控制信號(hào)與地址信號(hào)的互連模型等。右側(cè)虛線框表示通信中的被動(dòng)端。本模型中,數(shù)據(jù)是雙向的,但是時(shí)鐘是單一方向。簡(jiǎn)單地說,就是時(shí)鐘單一方向發(fā)送,數(shù)據(jù)雙向傳遞。這個(gè)特點(diǎn)是本模型的適應(yīng)場(chǎng)景。
  關(guān)于互連時(shí)序模型與布線長度分析
  圖1簡(jiǎn)化的器件互連模型
  圖2是基于本模型的數(shù)據(jù)寫時(shí)序關(guān)系圖。圖中,T0表示主控端內(nèi)部時(shí)鐘發(fā)生器CLK發(fā)出的時(shí)鐘到達(dá)觸發(fā)器Q1時(shí)鐘輸入端的延時(shí);T1表示觸發(fā)器Q1接受到時(shí)鐘后到Q1輸出端出現(xiàn)數(shù)據(jù)的延時(shí);T2表示主控端內(nèi)部時(shí)鐘發(fā)生器CLK發(fā)出的時(shí)鐘到主控端外部時(shí)鐘輸出引腳的延時(shí);T3表示內(nèi)部觸發(fā)器Q1輸出的數(shù)據(jù)到達(dá)主控端外部數(shù)據(jù)輸出引腳的延時(shí)。通常,半導(dǎo)體制造商不會(huì)給出T0-T3這些參數(shù),通常會(huì)給出一個(gè)用于反映這些參數(shù)最終等價(jià)效果的參數(shù),即主控端外部數(shù)據(jù)引腳上出現(xiàn)數(shù)據(jù)時(shí)相對(duì)于外部時(shí)鐘引腳出現(xiàn)時(shí)鐘信號(hào)的延時(shí),這里記為Tco.
  
  圖2數(shù)據(jù)寫時(shí)序圖
  時(shí)序分析最關(guān)心的參數(shù)是信號(hào)到達(dá)接受端的最終建立時(shí)間和保持時(shí)間是否符合器件要求。這里將建立時(shí)間和保持時(shí)間分別記為Tsetup和Thold.Tflt-clk和Tflt-data分別表示時(shí)鐘信號(hào)和數(shù)據(jù)信號(hào)的飛行時(shí)間,即他們?cè)趯?duì)應(yīng)走線上的延時(shí)。Tjitter-clk和Tjitter-data分別代表時(shí)鐘信號(hào)和數(shù)據(jù)信號(hào)上的抖動(dòng)時(shí)間。
  器件的建立時(shí)間和保持時(shí)間是通過描述器件外部的時(shí)鐘引腳和數(shù)據(jù)引腳上的時(shí)序關(guān)系來反映器件內(nèi)部相關(guān)的時(shí)序延時(shí)和相關(guān)目標(biāo)邏輯時(shí)序關(guān)系的集總參數(shù)。信號(hào)從器件的引腳到內(nèi)部目標(biāo)邏輯存在一定延時(shí),同時(shí)內(nèi)部邏輯需要最終的建立和保持時(shí)間,綜合器件內(nèi)部的這些需求,最終得到器件對(duì)外的時(shí)序要求。
  分析圖2中時(shí)鐘信號(hào)和數(shù)據(jù)信號(hào)的相互關(guān)系,可以發(fā)現(xiàn):由于Tco的存在,如果器件間的時(shí)鐘和數(shù)據(jù)走線等長,則在接收端,用于發(fā)送時(shí)間的邊沿不能用于數(shù)據(jù)的采樣。為了在接收端對(duì)數(shù)據(jù)進(jìn)行正確采樣,必須調(diào)整時(shí)鐘和數(shù)據(jù)走線的關(guān)系,有兩種方法:第一,時(shí)鐘走線長于數(shù)據(jù)走線,使得數(shù)據(jù)飛行時(shí)間較時(shí)鐘短。此時(shí),在接收端仍然可以使用產(chǎn)生數(shù)據(jù)的時(shí)鐘沿采樣數(shù)據(jù);第二,數(shù)據(jù)走線比時(shí)鐘長,使得數(shù)據(jù)飛行時(shí)間較時(shí)鐘長。此時(shí),可以使用使用產(chǎn)生數(shù)據(jù)時(shí)鐘沿的下一個(gè)上升沿采樣數(shù)據(jù)。
  實(shí)際工程中,設(shè)計(jì)人員一般使用第二種方法并希望對(duì)于數(shù)字系統(tǒng)的建立時(shí)間和保持時(shí)間都留有一定裕量,因此我們可以得出下列公式,即建立時(shí)間公式:
  (Tsetup)min + (Tco)max + (Tflt-data - Tflt-clk)max + Tjitter-clk+ Tjitter-data (Thold)min(2)
  很顯然,Tco、Tflt-data、Tflt-clk中,Tco是器件的固有參數(shù),Tflt-data和Tflt-clk取決于對(duì)應(yīng)的PCB走線長度和走線層等。如果Tflt-data和Tflt-clk的差過小,則導(dǎo)致數(shù)據(jù)的保持時(shí)間不足;如果過大,則會(huì)使得建立時(shí)間不足。因此,Tflt-data和Tflt-clk的差存在上限和下限雙重限制。
  關(guān)于互連時(shí)序模型與布線長度分析
  圖3數(shù)據(jù)讀時(shí)序圖
  圖3是基于本模型的數(shù)據(jù)讀時(shí)序關(guān)系圖。圖中參數(shù)含義與前述相同。需要注意的是:在讀關(guān)系中,時(shí)鐘首先需要從主控端傳到從端,待從端發(fā)出的數(shù)據(jù)回到主控端后,才能由主控端對(duì)數(shù)據(jù)進(jìn)行采樣。因此,建立和保持時(shí)間的公式如下:
  (Tsetup)min + (Tco)max + (Tflt-data)max + (Tflt-clk)min + Tjitter-clk+ Tjitter-data 《 T (3)
 ?。═hold)min《 (Tco)min + (Tflt-data)min + (Tflt-clk)max - Tjitter-clk- Tjitter-data (4)
  參數(shù)Tco、Tflt-data 、Tflt-clk中,To是器件的固有參數(shù),Tflt-data 和Tflt-clk取決于對(duì)應(yīng)的PCB走線長度和走線層等。如果Tflt-data 和Tflt-clk的總和過小,則導(dǎo)致數(shù)據(jù)的保持時(shí)間不足;如果過大,則會(huì)使得建立時(shí)間不足。因此,Tflt-data 和Tflt-clk的和存在上限和下限雙重限制。
  需要額外說明的是,前述公式的分析中暗含一個(gè)結(jié)果,就是:默認(rèn)器件的輸出保持時(shí)間和輸出延時(shí)是等時(shí)間的。實(shí)際上,不同的半導(dǎo)體器件具有不同的情況,即使同一個(gè)半導(dǎo)體器件,在每次輸出數(shù)據(jù)時(shí)也不一定是完全相同的。這正是本文開始就一再強(qiáng)調(diào)的,時(shí)序分析的公式并不是萬能的,盡管大多數(shù)情況均適用,鑒于現(xiàn)實(shí)世界中的情況多樣,必須具體問題具體分析。
  還有一個(gè)問題:是否可以使用產(chǎn)生數(shù)據(jù)時(shí)鐘沿的次次上升沿采樣數(shù)據(jù),或者更靠后的邊沿來采樣數(shù)據(jù)。圖4所示是1#時(shí)鐘沿發(fā)出的數(shù)據(jù)由3#時(shí)鐘沿采樣的例子,在前述內(nèi)容中,1#時(shí)鐘沿發(fā)出的數(shù)據(jù)均由2#時(shí)鐘沿采樣。此處。為了在接收端有較好的建立和保持時(shí)間,可以看出數(shù)據(jù)的飛行時(shí)間最好要大于一個(gè)時(shí)鐘周期。假設(shè)此時(shí)鐘周期為40ns,表層走線,板材為FR-4,則數(shù)據(jù)線的最小長度要635CM。即使時(shí)鐘周期為8ns,數(shù)據(jù)線最小長度也要127CM。這顯然不是我們所希望的。因此,實(shí)際中使用產(chǎn)生數(shù)據(jù)時(shí)鐘沿的次上升沿來采樣數(shù)據(jù)。
下載該資料的人也在下載 下載該資料的人還在閱讀
更多 >

評(píng)論

查看更多

下載排行

本周

  1. 1TC358743XBG評(píng)估板參考手冊(cè)
  2. 1.36 MB  |  330次下載  |  免費(fèi)
  3. 2開關(guān)電源基礎(chǔ)知識(shí)
  4. 5.73 MB  |  6次下載  |  免費(fèi)
  5. 3100W短波放大電路圖
  6. 0.05 MB  |  4次下載  |  3 積分
  7. 4嵌入式linux-聊天程序設(shè)計(jì)
  8. 0.60 MB  |  3次下載  |  免費(fèi)
  9. 5基于FPGA的光纖通信系統(tǒng)的設(shè)計(jì)與實(shí)現(xiàn)
  10. 0.61 MB  |  2次下載  |  免費(fèi)
  11. 6基于FPGA的C8051F單片機(jī)開發(fā)板設(shè)計(jì)
  12. 0.70 MB  |  2次下載  |  免費(fèi)
  13. 751單片機(jī)窗簾控制器仿真程序
  14. 1.93 MB  |  2次下載  |  免費(fèi)
  15. 8基于51單片機(jī)的RGB調(diào)色燈程序仿真
  16. 0.86 MB  |  2次下載  |  免費(fèi)

本月

  1. 1OrCAD10.5下載OrCAD10.5中文版軟件
  2. 0.00 MB  |  234315次下載  |  免費(fèi)
  3. 2555集成電路應(yīng)用800例(新編版)
  4. 0.00 MB  |  33564次下載  |  免費(fèi)
  5. 3接口電路圖大全
  6. 未知  |  30323次下載  |  免費(fèi)
  7. 4開關(guān)電源設(shè)計(jì)實(shí)例指南
  8. 未知  |  21548次下載  |  免費(fèi)
  9. 5電氣工程師手冊(cè)免費(fèi)下載(新編第二版pdf電子書)
  10. 0.00 MB  |  15349次下載  |  免費(fèi)
  11. 6數(shù)字電路基礎(chǔ)pdf(下載)
  12. 未知  |  13750次下載  |  免費(fèi)
  13. 7電子制作實(shí)例集錦 下載
  14. 未知  |  8113次下載  |  免費(fèi)
  15. 8《LED驅(qū)動(dòng)電路設(shè)計(jì)》 溫德爾著
  16. 0.00 MB  |  6653次下載  |  免費(fèi)

總榜

  1. 1matlab軟件下載入口
  2. 未知  |  935054次下載  |  免費(fèi)
  3. 2protel99se軟件下載(可英文版轉(zhuǎn)中文版)
  4. 78.1 MB  |  537796次下載  |  免費(fèi)
  5. 3MATLAB 7.1 下載 (含軟件介紹)
  6. 未知  |  420026次下載  |  免費(fèi)
  7. 4OrCAD10.5下載OrCAD10.5中文版軟件
  8. 0.00 MB  |  234315次下載  |  免費(fèi)
  9. 5Altium DXP2002下載入口
  10. 未知  |  233046次下載  |  免費(fèi)
  11. 6電路仿真軟件multisim 10.0免費(fèi)下載
  12. 340992  |  191185次下載  |  免費(fèi)
  13. 7十天學(xué)會(huì)AVR單片機(jī)與C語言視頻教程 下載
  14. 158M  |  183278次下載  |  免費(fèi)
  15. 8proe5.0野火版下載(中文版免費(fèi)下載)
  16. 未知  |  138040次下載  |  免費(fèi)