電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示
創(chuàng)作
電子發(fā)燒友網(wǎng)>電子資料下載>嵌入式開(kāi)發(fā)>ARM開(kāi)發(fā)軟件的使用教程詳細(xì)說(shuō)明

ARM開(kāi)發(fā)軟件的使用教程詳細(xì)說(shuō)明

2020-09-24 | rar | 1.34 MB | 次下載 | 免費(fèi)

資料介紹

  說(shuō)明: 本文是ARM 開(kāi)發(fā)的一些經(jīng)驗(yàn)總結(jié),以EV44B01.2 開(kāi)發(fā)板為例,給出入門

  上手的最基礎(chǔ)知識(shí)。

  一、安裝SDT2.51

  點(diǎn)擊SDT2.51 安裝程序中的setup.exe,出現(xiàn)如下安裝界面:

  一路點(diǎn)擊next 繼續(xù),一般默認(rèn)設(shè)置就行了,不需作任何修改,最后完成安裝,假設(shè)安裝在了C:\ARM251 下面;

  二、啟動(dòng)SDT2.51

  安裝完畢,點(diǎn)擊程序組中的ARM Project Manager 啟動(dòng)主程序:

  三、利用模板創(chuàng)建自己的項(xiàng)目

  很多初學(xué)者會(huì)遇到這樣一個(gè)問(wèn)題:自己寫了程序,編譯也通過(guò)了,結(jié)果怎么也生成不了二進(jìn)制格式的目標(biāo)代碼( *.bin)。如何生成目標(biāo)代碼需要參考SDT2.51 安裝文件夾pdf 中的userguide.pdf,在它的392 頁(yè)有詳細(xì)說(shuō)明,教你怎么Converting ARM linker ELF output tobinary ROM formats 。

  為了減少大家的麻煩,這里提供一個(gè)模板Project,你打開(kāi)這個(gè)Project,然后點(diǎn)擊菜單File 下的Save As Template:

  然后在彈出的窗口中隨意選擇一個(gè)目錄下,新建一個(gè)自己的文件夾,隨便取一個(gè)Project名稱,確認(rèn)即可在主程序上出現(xiàn)新Project界面?,F(xiàn)在可以在剛才建立的文件夾下面編輯代碼,包括匯編代碼和C 程序代碼。注意匯編代碼必不可少,它是用來(lái)進(jìn)行系統(tǒng)初始化和C 程序入口的,這些范例代碼可以在三星網(wǎng)站去下載,網(wǎng)上也有很多相關(guān)資源。

下載該資料的人也在下載 下載該資料的人還在閱讀
更多 >

評(píng)論

查看更多

下載排行

本周

  1. 1TC358743XBG評(píng)估板參考手冊(cè)
  2. 1.36 MB  |  330次下載  |  免費(fèi)
  3. 2開(kāi)關(guān)電源基礎(chǔ)知識(shí)
  4. 5.73 MB  |  6次下載  |  免費(fèi)
  5. 3100W短波放大電路圖
  6. 0.05 MB  |  4次下載  |  3 積分
  7. 4嵌入式linux-聊天程序設(shè)計(jì)
  8. 0.60 MB  |  3次下載  |  免費(fèi)
  9. 5基于FPGA的光纖通信系統(tǒng)的設(shè)計(jì)與實(shí)現(xiàn)
  10. 0.61 MB  |  2次下載  |  免費(fèi)
  11. 6基于FPGA的C8051F單片機(jī)開(kāi)發(fā)板設(shè)計(jì)
  12. 0.70 MB  |  2次下載  |  免費(fèi)
  13. 751單片機(jī)窗簾控制器仿真程序
  14. 1.93 MB  |  2次下載  |  免費(fèi)
  15. 8基于51單片機(jī)的RGB調(diào)色燈程序仿真
  16. 0.86 MB  |  2次下載  |  免費(fèi)

本月

  1. 1OrCAD10.5下載OrCAD10.5中文版軟件
  2. 0.00 MB  |  234315次下載  |  免費(fèi)
  3. 2555集成電路應(yīng)用800例(新編版)
  4. 0.00 MB  |  33564次下載  |  免費(fèi)
  5. 3接口電路圖大全
  6. 未知  |  30323次下載  |  免費(fèi)
  7. 4開(kāi)關(guān)電源設(shè)計(jì)實(shí)例指南
  8. 未知  |  21548次下載  |  免費(fèi)
  9. 5電氣工程師手冊(cè)免費(fèi)下載(新編第二版pdf電子書)
  10. 0.00 MB  |  15349次下載  |  免費(fèi)
  11. 6數(shù)字電路基礎(chǔ)pdf(下載)
  12. 未知  |  13750次下載  |  免費(fèi)
  13. 7電子制作實(shí)例集錦 下載
  14. 未知  |  8113次下載  |  免費(fèi)
  15. 8《LED驅(qū)動(dòng)電路設(shè)計(jì)》 溫德?tīng)栔?/a>
  16. 0.00 MB  |  6653次下載  |  免費(fèi)

總榜

  1. 1matlab軟件下載入口
  2. 未知  |  935054次下載  |  免費(fèi)
  3. 2protel99se軟件下載(可英文版轉(zhuǎn)中文版)
  4. 78.1 MB  |  537796次下載  |  免費(fèi)
  5. 3MATLAB 7.1 下載 (含軟件介紹)
  6. 未知  |  420026次下載  |  免費(fèi)
  7. 4OrCAD10.5下載OrCAD10.5中文版軟件
  8. 0.00 MB  |  234315次下載  |  免費(fèi)
  9. 5Altium DXP2002下載入口
  10. 未知  |  233046次下載  |  免費(fèi)
  11. 6電路仿真軟件multisim 10.0免費(fèi)下載
  12. 340992  |  191185次下載  |  免費(fèi)
  13. 7十天學(xué)會(huì)AVR單片機(jī)與C語(yǔ)言視頻教程 下載
  14. 158M  |  183278次下載  |  免費(fèi)
  15. 8proe5.0野火版下載(中文版免費(fèi)下載)
  16. 未知  |  138040次下載  |  免費(fèi)