電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示
創(chuàng)作
電子發(fā)燒友網>電子資料下載>嵌入式開發(fā)>51單片機串行口的工作方式解析資料下載

51單片機串行口的工作方式解析資料下載

2021-04-12 | pdf | 111.84KB | 次下載 | 2積分

資料介紹

方式0是外接串行移位寄存器方式。工作時,數(shù)據(jù)從RXD串行地輸入/輸出,TXD輸出移位脈沖,使外部的移位寄存器移位。波特率固定為fosc/12(即,TXD每機器周期輸出一個同位脈沖時,RXD接收或發(fā)送一位數(shù)據(jù))。每當發(fā)送或接收完一個字節(jié),硬件TI=1或RI=1,申請中斷,但必須用軟件清除中斷標志。實際應用在串行I/O口與并行I/O口之間的轉換。 2)方式1方式1是點對點的通信方式。8位異步串行通信口,TXD為發(fā)送端,RXD為接收端。一幀為10位,1位起始位、8位數(shù)據(jù)位(先低后高)、1位停止位。波特率由T1或T2的溢出率確定。在發(fā)送或接收到一幀數(shù)據(jù)后,硬件置TI=1或RI=1,向CPU申請中斷;但必須用軟件清除中斷標志,否則,下一幀數(shù)據(jù)無法發(fā)送或接收。 (1)發(fā)送:CPU執(zhí)行一條寫SBUF指令,啟動了串行口發(fā)送,同時將1寫入輸出移位寄存器的第9位。發(fā)送起始位后,在每個移位脈沖的作用下,輸出移位寄存器右移一位,左邊移入0,在數(shù)據(jù)最高位移到輸出位時,原寫入的第9位1的左邊全是0,檢測電路檢測到這一條件后,使控制電路作最后一次移位,/SEND和DATA無效,發(fā)送停止位,一幀結束,置TI=1。 (2)接收:REN=1后,允許接收。接收器以所選波特率的16倍速率采樣RXD端電平,當檢測到一個負跳變時,啟動接收器,同時把1FFH寫入輸入移位寄存器(9位)。由于接、發(fā)雙方時鐘頻率有少許誤差,為此接收控制器把一位傳送時間16等分采樣RXD,以其中7、8、9三次采樣中至少2次相同的值為接收值。接收位從移位寄存器右邊進入,1左移出,當最左邊是起始位0時,說明已接收8位數(shù)據(jù),再作最后一次移位,接收停止位。此后:A、若RI=0、SM2=0,則8位數(shù)據(jù)裝入SBUF,停止位入RB8,置RI=1。B、 若RI=0、SM2=1,則只有停止位為1時,才有上述結果。C、若RI=0、SM2=1,且停止位為0,則所接數(shù)據(jù)丟失。D、若RI=1,則所接收數(shù)據(jù)丟失。無論出現(xiàn)那種情況,檢測器都重新檢測RXD的負跳變,以便接收下一幀。 3)方式2、方式3方式2和方式3是9位異步串行通信,一般用在多機通信系統(tǒng)中或奇偶校驗的通信過程。在通訊中,TB8和RB8位作為數(shù)據(jù)的第9位,位SM2也起作用。方式2與方式3的區(qū)別只是波特率的設置方式不同。 (1)發(fā)送向SBUF寫入一個數(shù)據(jù)就啟動串口發(fā)送,同時將TB8寫入輸出移位寄存器第9位。開始時,SEND和DATA都是低電平,把起始位輸出到TXD。DATA為高,第一次移位時,將‘1’移入輸出移位寄存器的第9位,以后每次移位,左邊移入‘0’,當TB8移到輸出位時,其左邊是一個‘1’和全‘0’。檢測到此條件,再進行最后一次移位,/SEND=1,DATA=0,輸出停止位,置TI=1。 (2)接收置REN=1,與方式1類似,接收器以波特率的16倍速率采樣RXD端。起始位0移到輸入寄存器的最左邊時,進行最后一次移位。在RI=0,SM2=0或接收到的第9位=1時,收到的一字節(jié)數(shù)據(jù)裝入SBUF,第9位進入RB8,置RI=1;然后又開始檢測RXD端負跳變。3、 多機通信在這里,多機系統(tǒng)是指‘一主多從’。51系列單片機中,利用第9位TB8/RB8來區(qū)分地址與數(shù)據(jù)信息,用位SM2確定接收方是否對地址或數(shù)據(jù)幀敏感。其原則是:1)發(fā)送方用第9位TB8=1標志地址幀,TB8=0標志數(shù)據(jù)幀。2)接收方若設置SM2=1,則只能接收到地址信息,若設SM2=0,則不管是地址還是數(shù)據(jù)幀,都能接收到。利用方式2、3的特點,在點對點的通訊中,在發(fā)送方可以用第9位TB8作為奇偶校驗位。在接收方,SM2位必須清0。4、波特率 1)方式0的波特率=fosc/12 2)方式2的波特率=2^smod*fosc/64 3)方式1、3的波特率由T1或T2的溢出率和SMOD位確定: (1)用T1:波特率=2^smod*T1定時器的溢出率/32,T1為方式2T1定時器溢出率=1/((12/fosc)*(256-X))例:已知fosc=6MHz,SMOD=0,設置波特率為2400,求T1的計數(shù)初值X。波特率=1/((12/fosc)*(256-X))/32=fosc/12*32(256-X)(256-X)=fosc/2400/384=6M/2400/384;256-X~=6.5104X~=250=FAH 只能近似計算。若fosc=11.0592MHz, 則256-X=11.0592M/2400/384=4068/384=12 X=F4H;可精確算出,對其它常用的標準波特率也是能正確算出。所以這個晶振頻率是最常用的。如果SMOD=1,則同樣的X初值得出的波特率加倍。(3)用T2:在52型單片機中,串口方式1、3的波特率發(fā)生器選擇由TCLK、RCLK位確定是T1還是T2。若TCLK=1,則發(fā)送器波特率來自T2,否則來自T1。若RCLK=1,則接收器波特率來自T2,否則來自T1。由T2產生的波特率與SMOD無關。T2定時的最小單元=2/fosc。T2的溢出脈沖16分頻后作為串口的發(fā)送或接收脈沖。波特率=(1/((2/fosc)(65536-X)))/16=fosc/(32(65536-X))例:已知fosc=11.0592MHz,求波特率=2400時的X2400=11059200/(32(65536-X)) 65536-X=144 X=65392=FF70H計數(shù)器初值寄存器:RCAP2H=0FFH,RCAP2L=70H。(mbbeetchina)
下載該資料的人也在下載 下載該資料的人還在閱讀
更多 >

評論

查看更多

下載排行

本周

  1. 1TC358743XBG評估板參考手冊
  2. 1.36 MB  |  330次下載  |  免費
  3. 2開關電源基礎知識
  4. 5.73 MB  |  6次下載  |  免費
  5. 3100W短波放大電路圖
  6. 0.05 MB  |  4次下載  |  3 積分
  7. 4嵌入式linux-聊天程序設計
  8. 0.60 MB  |  3次下載  |  免費
  9. 5基于FPGA的光纖通信系統(tǒng)的設計與實現(xiàn)
  10. 0.61 MB  |  2次下載  |  免費
  11. 6基于FPGA的C8051F單片機開發(fā)板設計
  12. 0.70 MB  |  2次下載  |  免費
  13. 751單片機窗簾控制器仿真程序
  14. 1.93 MB  |  2次下載  |  免費
  15. 8基于51單片機的RGB調色燈程序仿真
  16. 0.86 MB  |  2次下載  |  免費

本月

  1. 1OrCAD10.5下載OrCAD10.5中文版軟件
  2. 0.00 MB  |  234315次下載  |  免費
  3. 2555集成電路應用800例(新編版)
  4. 0.00 MB  |  33564次下載  |  免費
  5. 3接口電路圖大全
  6. 未知  |  30323次下載  |  免費
  7. 4開關電源設計實例指南
  8. 未知  |  21548次下載  |  免費
  9. 5電氣工程師手冊免費下載(新編第二版pdf電子書)
  10. 0.00 MB  |  15349次下載  |  免費
  11. 6數(shù)字電路基礎pdf(下載)
  12. 未知  |  13750次下載  |  免費
  13. 7電子制作實例集錦 下載
  14. 未知  |  8113次下載  |  免費
  15. 8《LED驅動電路設計》 溫德爾著
  16. 0.00 MB  |  6653次下載  |  免費

總榜

  1. 1matlab軟件下載入口
  2. 未知  |  935054次下載  |  免費
  3. 2protel99se軟件下載(可英文版轉中文版)
  4. 78.1 MB  |  537796次下載  |  免費
  5. 3MATLAB 7.1 下載 (含軟件介紹)
  6. 未知  |  420026次下載  |  免費
  7. 4OrCAD10.5下載OrCAD10.5中文版軟件
  8. 0.00 MB  |  234315次下載  |  免費
  9. 5Altium DXP2002下載入口
  10. 未知  |  233046次下載  |  免費
  11. 6電路仿真軟件multisim 10.0免費下載
  12. 340992  |  191185次下載  |  免費
  13. 7十天學會AVR單片機與C語言視頻教程 下載
  14. 158M  |  183278次下載  |  免費
  15. 8proe5.0野火版下載(中文版免費下載)
  16. 未知  |  138040次下載  |  免費