電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示
創(chuàng)作
電子發(fā)燒友網(wǎng)>電子資料下載>IC資料>單片機(jī)IC>基于PIC16F72X采用28/40/44 引腳 8 位 CMOS 閃存單片機(jī)

基于PIC16F72X采用28/40/44 引腳 8 位 CMOS 閃存單片機(jī)

2018-06-27 | pdf | 0.27 MB | 次下載 | 5積分

資料介紹

  高性能 RISC CPU

  ? 僅需學(xué)習(xí) 35 條指令:

  - 除了跳轉(zhuǎn)指令以外,所有指令都是單周期的

  ? 工作速度:

  - DC - 20 MHz 振蕩器 / 時(shí)鐘輸入

  - DC - 200 ns 指令周期

  ? 最大 8K x 14 字的閃存程序存儲器

  ? 最大 368 字節(jié)的數(shù)據(jù)存儲器 (RAM

  ? 中斷能力

  ? 8 層深硬件堆棧

  ? 直接、間接和相對尋址模式

  ? 處理器對程序存儲器的讀訪問

  ? 引腳排列與其他 28/40 引腳 PIC16CXXX 和

  PIC16FXXX 單片機(jī)兼容

  單片機(jī)特性:

  ? 精確的內(nèi)部振蕩器:

  - 16 MHz 或 500 kHz 工作速度

  - 出廠時(shí)精度已校準(zhǔn)到 ±1%,典型值

  - 可用軟件調(diào)整

  - 軟件可選擇 1、 2、 4 或 8 分頻器

  ? 省電休眠模式

  ? 工業(yè)級和擴(kuò)展級溫度范圍

  ? 上電復(fù)位 (Power-on Reset, POR)

  ? 上電延時(shí)定時(shí)器 (Power-up Timer, PWRT)和

  振蕩器起振定時(shí)器 (Oscillator Start-up Timer,

  OST)

  ? 欠壓復(fù)位 (Brown-out Reset, BOR)

  - 可在兩個(gè)跳變點(diǎn)之間選擇

  - 在休眠模式下禁止

  ? 帶弱上拉的主復(fù)位引腳,與通用輸入功能復(fù)用

  ? 可編程代碼保護(hù)

  ? 高耐用性閃存單元:

  - 閃存耐寫次數(shù)達(dá) 10,000 次 (典型值)

  - 閃存數(shù)據(jù)保存期:》 40 年

  ? 寬工作電壓范圍 (1.8V-5.5V)

  ? 片上 3.2V 穩(wěn)壓器

  - 外部電容引腳可在低電壓 (1.8V-3.6V)應(yīng)用

  中用作 I/O 引腳。

基于PIC16F72X采用28/40/44 引腳 8 位 CMOS 閃存單片機(jī)

下載該資料的人也在下載 下載該資料的人還在閱讀
更多 >

評論

查看更多

下載排行

本周

  1. 1電子電路原理第七版PDF電子教材免費(fèi)下載
  2. 0.00 MB  |  1490次下載  |  免費(fèi)
  3. 2單片機(jī)典型實(shí)例介紹
  4. 18.19 MB  |  92次下載  |  1 積分
  5. 3S7-200PLC編程實(shí)例詳細(xì)資料
  6. 1.17 MB  |  27次下載  |  1 積分
  7. 4筆記本電腦主板的元件識別和講解說明
  8. 4.28 MB  |  18次下載  |  4 積分
  9. 5開關(guān)電源原理及各功能電路詳解
  10. 0.38 MB  |  10次下載  |  免費(fèi)
  11. 6基于AT89C2051/4051單片機(jī)編程器的實(shí)驗(yàn)
  12. 0.11 MB  |  4次下載  |  免費(fèi)
  13. 7藍(lán)牙設(shè)備在嵌入式領(lǐng)域的廣泛應(yīng)用
  14. 0.63 MB  |  3次下載  |  免費(fèi)
  15. 89天練會電子電路識圖
  16. 5.91 MB  |  3次下載  |  免費(fèi)

本月

  1. 1OrCAD10.5下載OrCAD10.5中文版軟件
  2. 0.00 MB  |  234313次下載  |  免費(fèi)
  3. 2PADS 9.0 2009最新版 -下載
  4. 0.00 MB  |  66304次下載  |  免費(fèi)
  5. 3protel99下載protel99軟件下載(中文版)
  6. 0.00 MB  |  51209次下載  |  免費(fèi)
  7. 4LabView 8.0 專業(yè)版下載 (3CD完整版)
  8. 0.00 MB  |  51043次下載  |  免費(fèi)
  9. 5555集成電路應(yīng)用800例(新編版)
  10. 0.00 MB  |  33562次下載  |  免費(fèi)
  11. 6接口電路圖大全
  12. 未知  |  30320次下載  |  免費(fèi)
  13. 7Multisim 10下載Multisim 10 中文版
  14. 0.00 MB  |  28588次下載  |  免費(fèi)
  15. 8開關(guān)電源設(shè)計(jì)實(shí)例指南
  16. 未知  |  21539次下載  |  免費(fèi)

總榜

  1. 1matlab軟件下載入口
  2. 未知  |  935053次下載  |  免費(fèi)
  3. 2protel99se軟件下載(可英文版轉(zhuǎn)中文版)
  4. 78.1 MB  |  537791次下載  |  免費(fèi)
  5. 3MATLAB 7.1 下載 (含軟件介紹)
  6. 未知  |  420026次下載  |  免費(fèi)
  7. 4OrCAD10.5下載OrCAD10.5中文版軟件
  8. 0.00 MB  |  234313次下載  |  免費(fèi)
  9. 5Altium DXP2002下載入口
  10. 未知  |  233045次下載  |  免費(fèi)
  11. 6電路仿真軟件multisim 10.0免費(fèi)下載
  12. 340992  |  191183次下載  |  免費(fèi)
  13. 7十天學(xué)會AVR單片機(jī)與C語言視頻教程 下載
  14. 158M  |  183277次下載  |  免費(fèi)
  15. 8proe5.0野火版下載(中文版免費(fèi)下載)
  16. 未知  |  138039次下載  |  免費(fèi)