電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示
創(chuàng)作
電子發(fā)燒友網(wǎng)>電子資料下載>可編程邏輯>Vivado 開發(fā)教程(四) 行為仿真

Vivado 開發(fā)教程(四) 行為仿真

2021-03-01 | pdf | 350.3KB | 次下載 | 5積分

資料介紹

作者:falwat

版權(quán)聲明:本文為博主原創(chuàng)文章,遵循 CC 4.0 BY-SA 版權(quán)協(xié)議,轉(zhuǎn)載請附上原文出處鏈接和本聲明。
本文鏈接:https://blog.csdn.net/falwat/article/details/86171571

本文介紹如何在教程(三)基礎(chǔ)上, 關(guān)聯(lián)ELF輸出文件并使用vivado對系統(tǒng)進(jìn)行行為仿真。

關(guān)聯(lián)ELF 文件
在vivado 開發(fā)教程(三) 在SDK中創(chuàng)建應(yīng)用工程 中, 新建的工程經(jīng)構(gòu)建最終會(huì)生成ELF 輸出文件. ELF 文件是一種用于二進(jìn)制文件、可執(zhí)行文件、目標(biāo)代碼、共享庫和核心轉(zhuǎn)儲格式文件(參考:百度百科). 可以在教程(三)中新建的"test"工程和導(dǎo)入的例程下找到.

切回Vivado, 在塊設(shè)計(jì)文件"system.bd"上右鍵,選擇菜單"Associate ELF Files..."關(guān)聯(lián)ELF文件.

在彈出的對話框中,點(diǎn)擊"Simulation Sources | sim_1 | system_i | microblaze_0 "樹右側(cè)的"..."按鈕, 彈出選擇文件對話框.

點(diǎn)擊"Add Files..." 按鈕,添加ELF文件.

切換目錄至"D:/Projects/test/test.sdk/test_bsp_xgpio_low_level_example_1/Debug",選中"test_bsp_xgpio_low_level_example_1.elf", 添加至列表中, 選中新加入的ELF文件并點(diǎn)擊"OK"完成.

在工程管理視圖 | "Sources"窗口 | "Simulation Sources"文件集 | sim_1 | ELF 下能夠看到剛剛關(guān)聯(lián)上去的ELF文件.

新建激勵(lì)文件
點(diǎn)擊"Sources"窗口頂部的"+"按鈕, 打開添加源文件對話框. 選中"Add or create simulation sources", 點(diǎn)擊"Next"繼續(xù).

點(diǎn)擊"Create File"按鈕, 在創(chuàng)建源文件對話框中, 輸入文件名. 點(diǎn)擊"OK", 點(diǎn)擊"Finish".

在彈出的"Define Module"對話框中, 點(diǎn)擊"OK"即可. 激勵(lì)文件不需要有定義輸入輸出端口.
雙擊打開"sim_system.v"文件, 復(fù)制"system_wrapper.v"文件中的如下內(nèi)容到"sim_system.v"的模塊中.

  wire [7:0]led_8bits_tri_o;
  wire reset;
  wire rs232_uart_rxd;
  wire rs232_uart_txd;
  wire sysclk_125_clk_n;
  wire sysclk_125_clk_p;
 
  system system_i
       (.led_8bits_tri_o(led_8bits_tri_o),
        .reset(reset),
        .rs232_uart_rxd(rs232_uart_rxd),
        .rs232_uart_txd(rs232_uart_txd),
        .sysclk_125_clk_n(sysclk_125_clk_n),
        .sysclk_125_clk_p(sysclk_125_clk_p));

修改sim_system.v"文件中, system_i的輸入信號為"reg"類型, 編寫"initial"塊對輸入信號進(jìn)行初始化, 為時(shí)鐘信號編寫激勵(lì), 將"timescale" 設(shè)置為"1ns / 1ns". 最終生成的代碼如下所示:

`timescale 1ns / 1ns
 
module sim_system;
    
    wire [7:0]led_8bits_tri_o;
    reg  reset;
    reg  rs232_uart_rxd;
    wire rs232_uart_txd;
    reg  sysclk_125_clk_n;
    wire sysclk_125_clk_p = ~sysclk_125_clk_n;
  
    system system_i
         (.led_8bits_tri_o(led_8bits_tri_o),
          .reset(reset),
          .rs232_uart_rxd(rs232_uart_rxd),
          .rs232_uart_txd(rs232_uart_txd),
          .sysclk_125_clk_n(sysclk_125_clk_n),
          .sysclk_125_clk_p(sysclk_125_clk_p));
          
    initial begin
        reset = 1;
        rs232_uart_rxd = 1;
        sysclk_125_clk_n = 0;
        
        #100;
        reset = 0;  // 復(fù)位完成
    end
    
    always #4 sysclk_125_clk_n = ~sysclk_125_clk_n; // 125M
 
endmodule

從"Source"窗口中,選中激勵(lì)文件"sim_system.v", 右鍵選擇菜單"Set as Top", 將激勵(lì)文件設(shè)置為頂層.

點(diǎn)擊左側(cè)"Flow Navigator"工具窗口中的"Simulation" | "Run Simulation", 點(diǎn)擊"Run Behavioral Simulation", 運(yùn)行行為仿真.

編譯成功后會(huì)自動(dòng)打開仿真("SIMULATION")視圖, 主工具欄會(huì)增加如下幾個(gè)工具圖標(biāo):

為了能夠快速看出仿真效果,縮短仿真時(shí)間, 在SDK 中修改"xgpio_low_level_example.c"文件中的宏常量"LED_DELAY" 改為1000 并保存, SDK在保存后會(huì)自動(dòng)進(jìn)行編譯, 更新ELF文件.

#define LED_DELAY	 1000

切回Vivado, 點(diǎn)擊重新仿真("Relaunch Simulation")按鈕.
設(shè)置仿真時(shí)間為500us, 點(diǎn)擊運(yùn)行指定時(shí)間("Run for 500us")按鈕.最終的仿真時(shí)序圖如下所示.

下載該資料的人也在下載 下載該資料的人還在閱讀
更多 >

評論

查看更多

下載排行

本周

  1. 1電子電路原理第七版PDF電子教材免費(fèi)下載
  2. 0.00 MB  |  1491次下載  |  免費(fèi)
  3. 2單片機(jī)典型實(shí)例介紹
  4. 18.19 MB  |  95次下載  |  1 積分
  5. 3S7-200PLC編程實(shí)例詳細(xì)資料
  6. 1.17 MB  |  27次下載  |  1 積分
  7. 4筆記本電腦主板的元件識別和講解說明
  8. 4.28 MB  |  18次下載  |  4 積分
  9. 5開關(guān)電源原理及各功能電路詳解
  10. 0.38 MB  |  11次下載  |  免費(fèi)
  11. 6100W短波放大電路圖
  12. 0.05 MB  |  4次下載  |  3 積分
  13. 7基于單片機(jī)和 SG3525的程控開關(guān)電源設(shè)計(jì)
  14. 0.23 MB  |  4次下載  |  免費(fèi)
  15. 8基于AT89C2051/4051單片機(jī)編程器的實(shí)驗(yàn)
  16. 0.11 MB  |  4次下載  |  免費(fèi)

本月

  1. 1OrCAD10.5下載OrCAD10.5中文版軟件
  2. 0.00 MB  |  234313次下載  |  免費(fèi)
  3. 2PADS 9.0 2009最新版 -下載
  4. 0.00 MB  |  66304次下載  |  免費(fèi)
  5. 3protel99下載protel99軟件下載(中文版)
  6. 0.00 MB  |  51209次下載  |  免費(fèi)
  7. 4LabView 8.0 專業(yè)版下載 (3CD完整版)
  8. 0.00 MB  |  51043次下載  |  免費(fèi)
  9. 5555集成電路應(yīng)用800例(新編版)
  10. 0.00 MB  |  33562次下載  |  免費(fèi)
  11. 6接口電路圖大全
  12. 未知  |  30320次下載  |  免費(fèi)
  13. 7Multisim 10下載Multisim 10 中文版
  14. 0.00 MB  |  28588次下載  |  免費(fèi)
  15. 8開關(guān)電源設(shè)計(jì)實(shí)例指南
  16. 未知  |  21539次下載  |  免費(fèi)

總榜

  1. 1matlab軟件下載入口
  2. 未知  |  935053次下載  |  免費(fèi)
  3. 2protel99se軟件下載(可英文版轉(zhuǎn)中文版)
  4. 78.1 MB  |  537793次下載  |  免費(fèi)
  5. 3MATLAB 7.1 下載 (含軟件介紹)
  6. 未知  |  420026次下載  |  免費(fèi)
  7. 4OrCAD10.5下載OrCAD10.5中文版軟件
  8. 0.00 MB  |  234313次下載  |  免費(fèi)
  9. 5Altium DXP2002下載入口
  10. 未知  |  233046次下載  |  免費(fèi)
  11. 6電路仿真軟件multisim 10.0免費(fèi)下載
  12. 340992  |  191183次下載  |  免費(fèi)
  13. 7十天學(xué)會(huì)AVR單片機(jī)與C語言視頻教程 下載
  14. 158M  |  183277次下載  |  免費(fèi)
  15. 8proe5.0野火版下載(中文版免費(fèi)下載)
  16. 未知  |  138039次下載  |  免費(fèi)