資料介紹
作者: ALINX
* 本原創(chuàng)教程由芯驛電子科技(上海)有限公司(ALINX)創(chuàng)作,版權歸本公司所有,如需轉載,需授權并注明出處。
適用于板卡型號:
AXU2CGA/AXU2CGB/AXU3EG/AXU4EV-E/AXU4EV-P/AXU5EV-E/AXU5EV-P /AXU9EG/AXU15EG
實驗Vivado工程為“ram_test”。
RAM是FPGA中常用的基礎模塊,可廣泛用于緩存數(shù)據的情況,同樣它也是ROM,F(xiàn)IFO的基礎。本實驗將為大家介紹如何使用FPGA內部的RAM以及程序對該RAM的數(shù)據讀寫操作。
1.實驗原理
Xilinx在VIVADO里為我們已經提供了RAM的IP核, 我們只需通過IP核例化一個RAM,根據RAM的讀寫時序來寫入和讀取RAM中存儲的數(shù)據。實驗中會通過VIVADO集成的在線邏輯分析儀ila,我們可以觀察RAM的讀寫時序和從RAM中讀取的數(shù)據。
2.創(chuàng)建Vivado工程
在添加RAM IP之前先新建一個ram_test的工程, 然后在工程中添加RAM IP,方法如下:
2.1 點擊下圖中IP Catalog,在右側彈出的界面中搜索ram,找到Block Memory Generator,雙擊打開。
2.2 將Component Name改為ram_ip,在Basic欄目下,將Memory Type改為Simple Dual Prot RAM,也就是偽雙口RAM。一般來講"Simple Dual Port RAM"是最常用的,因為它是兩個端口,輸入和輸出信號獨立。
2.3 切換到Port A Options欄目下,將RAM位寬Port A Width改為16,也就是數(shù)據寬度。將RAM深度Port A Depth改為512,深度指的是RAM里可以存放多少個數(shù)據。使能管腳Enable Port Type改為Always Enable。
2.4 切換到Port B Options欄目下,將RAM位寬Port B Width改為16,使能管腳Enable Port Type改為Always Enable,當然也可以Use ENB Pin,相當于讀使能信號。而Primitives Output Register取消勾選,其功能是在輸出數(shù)據加上寄存器,可以有效改善時序,但讀出的數(shù)據會落后地址兩個周期。很多情況下,不使能這項功能,保持數(shù)據落后地址一個周期。
2.5 在Other Options欄目中,這里不像ROM那樣需要初始化RAM的數(shù)據,我們可以在程序中寫入,所以配置默認即可,直接點擊OK。
2.6 點擊“Generate”生成RAM IP。
3. RAM的端口定義和時序
Simple Dual Port RAM 模塊端口的說明如下:
RAM的數(shù)據寫入和讀出都是按時鐘的上升沿操作的,端口A數(shù)據寫入的時候需要置高wea信號,同時提供地址和要寫入的數(shù)據。下圖為輸入寫入到RAM的時序圖。
而端口B是不能寫入數(shù)據的,只能從RAM中讀出數(shù)據,只要提供地址就可以了,一般情況下可以在下一個周期采集到有效的數(shù)據。
RAM讀時序
4. 測試程序編寫
下面進行RAM的測試程序的編寫,由于測試RAM的功能,我們向RAM的端口A寫入一串連續(xù)的數(shù)據,只寫一次,并從端口B中讀出,使用邏輯分析儀查看數(shù)據。代碼如下
`timescale1ns/1ps ////////////////////////////////////////////////////////////////////////////////// module ram_test( input clk, //25MHz時鐘 input rst_n //復位信號,低電平有效 ); //----------------------------------------------------------- reg [8:0] w_addr; //RAM PORTA寫地址 reg [15:0] w_data; //RAM PORTA寫數(shù)據 reg wea; //RAM PORTA使能 reg [8:0] r_addr; //RAM PORTB讀地址 wire [15:0] r_data; //RAM PORTB讀數(shù)據 //產生RAM PORTB讀地址 always@(posedge clk ornegedge rst_n) begin if(!rst_n) r_addr <=9'd0; elseif(|w_addr) //w_addr位或,不等于0 r_addr <= r_addr+1'b1; else r_addr <=9'd0; end //產生RAM PORTA寫使能信號 always@(posedge clk ornegedge rst_n) begin if(!rst_n) wea <=#11'b0; else begin if(&w_addr)//w_addr的bit位全為1,共寫入512個數(shù)據,寫入完成 wea <=#11'b0; else wea <=#11'b1;//ram寫使能 end end //產生RAM PORTA寫入的地址及數(shù)據 always@(posedge clk ornegedge rst_n) begin if(!rst_n) begin w_addr <=9'd0; w_data <=16'd1; end else begin if(wea) //ram寫使能有效 begin if(&w_addr)//w_addr的bit位全為1,共寫入512個數(shù)據,寫入完成 begin w_addr <= w_addr ;//將地址和數(shù)據的值保持住,只寫一次RAM w_data <= w_data ; end else begin w_addr <= w_addr +1'b1; w_data <= w_data +1'b1; end end end end //----------------------------------------------------------- //實例化RAM ram_ip ram_ip_inst ( .clka (clk ),// input clka .wea (wea ),// input [0 : 0] wea .addra (w_addr ),// input [8 : 0] addra .dina (w_data ),// input [15 : 0] dina .clkb (clk ),// input clkb .addrb (r_addr ),// input [8 : 0] addrb .doutb (r_data )// output [15 : 0] doutb ); //實例化ila邏輯分析儀 ila_0 ila_0_inst ( .clk (clk ), .probe0 (r_data ), .probe1 (r_addr ) ); endmodule
為了能實時看到RAM中讀取的數(shù)據值,我們這里添加了ila工具來觀察RAM PORTB的數(shù)據信號和地址信號。關于如何生成ila大家請參考”PL的”Hello World”LED實驗”。
程序結構如下:
綁定引腳
##################Compress Bitstream############################
set_property BITSTREAM.GENERAL.COMPRESS TRUE [current_design]set_property PACKAGE_PIN AB11 [get_ports clk]set_property IOSTANDARD LVCMOS33 [get_ports clk]create_clock -period 40.000 -name clk -waveform {0.000 20.000} [get_ports clk]set_property PACKAGE_PIN AA13 [get_ports rst_n]set_property IOSTANDARD LVCMOS33 [get_ports rst_n]
5. 仿真
仿真方法參考”PL的”Hello World”LED實驗”,仿真結果如下,從圖中可以看出地址1寫入的數(shù)據是0002,在下個周期,也就是時刻2,有效數(shù)據讀出。
6. 板上驗證
生成bitstream,并下載bit文件到FPGA。接下來我們通過ila來觀察一下從RAM中讀出的數(shù)據是否為我們初始化的數(shù)據。
在Waveform的窗口設置r_addr地址為0作為觸發(fā)條件,我們可以看到r_addr在不斷的從0累加到1ff, 隨著r_addr的變化, r_data也在變化, r_data的數(shù)據正是我們寫入到RAM中的512個數(shù)據,這里需要注意,r_addr出現(xiàn)新地址時,r_data對應的數(shù)據要延時兩個時鐘周期才會出現(xiàn),數(shù)據比地址出現(xiàn)晚兩個時鐘周期,與仿真結果一致。
- Zynq UltraScale+ MPSoC驗證數(shù)據手冊
- Zynq UltraScale+ MPSoC中的隔離方法
- Zynq UltraScale+ MPSoC的隔離設計示例
- 米爾電子zynq ultrascale+ mpsoc底板外設資源清單分享
- 計算機網絡第六章應用層資源下載 0次下載
- 【ZYNQ Ultrascale+ MPSOC FPGA教程】第七章 FPGA片內ROM測試實驗
- 如何調試 Zynq UltraScale+ MPSoC VCU DDR 控制器?
- 【ZYNQ Ultrascale+ MPSOC FPGA教程】第八章FPGA片內FIFO讀寫測試實驗
- 【ZYNQ Ultrascale+ MPSOC FPGA教程】第一章 MPSoC芯片介紹
- 電力系統(tǒng)繼電保護第六章-變壓器保護(課件)下載.ppt 0次下載
- STM8S BLDC電機第六章工程的stm8選項字節(jié)配置 8次下載
- 靜噪基礎第六章_EMI靜噪濾波器 4次下載
- 數(shù)字信號處理 第六章 4次下載
- 《測控電路》習題完整參考答案(第六章) 0次下載
- 高頻電子線路第六章答案
- 針對UltraScale/UltraScale+芯片DFX應考慮的因素有哪些(1) 537次閱讀
- Xilinx ZYNQ UltraScale+系列產品介紹 2405次閱讀
- FPGAs,Zynq和Zynq MPSoC器件的特點 2275次閱讀
- 基于Xilinx Zynq UltraScale+ RFSoC ZCU216評估套件詳細內容介紹 9162次閱讀
- 基于Xilinx Zynq ultraScale+ 系列FPGA的AXU2CGB 開發(fā)板評測 9051次閱讀
- 米爾科技Zynq UltraScale+ MPSoC技術參考手冊介紹 2975次閱讀
- 詳解Xilinx公司Zynq? UltraScale+?MPSoC產品 3184次閱讀
- Xilinx Zynq UltraScale MPSoC可擴展電源設計 1950次閱讀
- Xilinx Kintex UltraScale+ FPGA KCU116評估套件主要性能和優(yōu)勢 6734次閱讀
- Zynq UltraScale+ MPSoC ZCU102評估套件解決方案 8640次閱讀
- Enea OSE可實現(xiàn)對Xilinx UltraScale+ MPSoC的支持 2790次閱讀
- Xilinx基于ARM的Zynq-7000和Zynq UltraScale+ MPSoC及RFSoC器件是否存在安全漏洞 2620次閱讀
- Zynq UltraScale+ MPSoC 上的多個Linux UIO設計 3219次閱讀
- 用ZYNQ MPSoC玩DOOM! 2539次閱讀
- Ti推出面向Zynq UltraScale+ MPSoC的電源參考設計 3588次閱讀
下載排行
本周
- 1電子電路原理第七版PDF電子教材免費下載
- 0.00 MB | 1491次下載 | 免費
- 2單片機典型實例介紹
- 18.19 MB | 95次下載 | 1 積分
- 3S7-200PLC編程實例詳細資料
- 1.17 MB | 27次下載 | 1 積分
- 4筆記本電腦主板的元件識別和講解說明
- 4.28 MB | 18次下載 | 4 積分
- 5開關電源原理及各功能電路詳解
- 0.38 MB | 11次下載 | 免費
- 6100W短波放大電路圖
- 0.05 MB | 4次下載 | 3 積分
- 7基于單片機和 SG3525的程控開關電源設計
- 0.23 MB | 4次下載 | 免費
- 8基于AT89C2051/4051單片機編程器的實驗
- 0.11 MB | 4次下載 | 免費
本月
- 1OrCAD10.5下載OrCAD10.5中文版軟件
- 0.00 MB | 234313次下載 | 免費
- 2PADS 9.0 2009最新版 -下載
- 0.00 MB | 66304次下載 | 免費
- 3protel99下載protel99軟件下載(中文版)
- 0.00 MB | 51209次下載 | 免費
- 4LabView 8.0 專業(yè)版下載 (3CD完整版)
- 0.00 MB | 51043次下載 | 免費
- 5555集成電路應用800例(新編版)
- 0.00 MB | 33562次下載 | 免費
- 6接口電路圖大全
- 未知 | 30320次下載 | 免費
- 7Multisim 10下載Multisim 10 中文版
- 0.00 MB | 28588次下載 | 免費
- 8開關電源設計實例指南
- 未知 | 21539次下載 | 免費
總榜
- 1matlab軟件下載入口
- 未知 | 935053次下載 | 免費
- 2protel99se軟件下載(可英文版轉中文版)
- 78.1 MB | 537793次下載 | 免費
- 3MATLAB 7.1 下載 (含軟件介紹)
- 未知 | 420026次下載 | 免費
- 4OrCAD10.5下載OrCAD10.5中文版軟件
- 0.00 MB | 234313次下載 | 免費
- 5Altium DXP2002下載入口
- 未知 | 233046次下載 | 免費
- 6電路仿真軟件multisim 10.0免費下載
- 340992 | 191183次下載 | 免費
- 7十天學會AVR單片機與C語言視頻教程 下載
- 158M | 183277次下載 | 免費
- 8proe5.0野火版下載(中文版免費下載)
- 未知 | 138039次下載 | 免費
評論
查看更多