電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示
創(chuàng)作
電子發(fā)燒友網(wǎng)>電子資料下載>電子資料>Vivado 2021.2中的TE0727入門

Vivado 2021.2中的TE0727入門

2023-02-09 | zip | 0.76 MB | 次下載 | 免費

資料介紹

描述

您可能已經(jīng)注意到,從版本 2021.1 開始,Vivado 中板預(yù)設(shè)文件的處理方式與 Xilinx Board Store 的引入略有不同。這是一個方便的添加,因為它意味著不再需要手動安裝各種常見 Xilinx、Digilent、Avnet 和 Trenz Electronics 板的板預(yù)設(shè)文件。您可以通過簡單地從板選擇中單擊“下載”來將它們安裝在創(chuàng)建新項目菜單中。

然而,由于Xilinx Board Store比較新,并且有其他供應(yīng)商提供的基于 Xilinx 的開發(fā)板,因此仍然需要手動安裝板預(yù)設(shè)文件。這讓我有點難過,因為 Vivado 的文件結(jié)構(gòu)也發(fā)生了變化,以前版本中手動安裝的舊目錄板預(yù)設(shè)文件不再存在。然而,在升級到 Vivado 2021.2 之后,我需要再次使用我的 ZynqberryZero,它恰好不在Xilinx Board Store 存儲庫中,這迫使我弄清楚板預(yù)設(shè)手動安裝。我在 Ubuntu 18.04 上運行 Vivado 2021.2。

添加電路板預(yù)設(shè)文件

在易于記憶/訪問的地方為電路板預(yù)設(shè)文件創(chuàng)建本地目錄:

~$ mkdir -p xilinx_boards

將目標(biāo)開發(fā)板的板子預(yù)設(shè)文件復(fù)制到其中:

~$ cp -R ./Downloads/zbzerodemo1/board_files/TE0727_10_1C ./xilinx_boards
poYBAGPjUZmAJTRQAAA8SgAkoW4700.png
?

獲取 Vivado 環(huán)境并啟動 Vivado,但不要打開項目。

~$ source /tools/Xilinx/Vitis/2021.2/settings64.sh
~$ vivado

工具菜單中選擇設(shè)置。

在“設(shè)置”菜單中,導(dǎo)航至Vivado Store > Board Repository 。

單擊+圖標(biāo)并指向我們剛剛在彈出窗口中為電路板預(yù)設(shè)文件創(chuàng)建的本地目錄。單擊“選擇”返回“設(shè)置”窗口。

單擊Apply ,然后單擊 OK將更改保存到 Vivado 并關(guān)閉Settings窗口。

?
?
?
poYBAGPjUaGAVT8yAAK8yRmm8U4233.png
?
1 / 3
?

您會注意到已經(jīng)指定了從Xilinx Board Store下載的電路板文件所在的目錄,剛剛創(chuàng)建的本地目錄將是 Vivado 在其中查找電路板預(yù)設(shè)文件的第二個位置。您現(xiàn)在可以簡單地復(fù)制任何新的電路板預(yù)設(shè)將文件復(fù)制到本地目錄,以便在以后的項目中使用。

創(chuàng)建 Vivado 項目

要測試新的本地板預(yù)設(shè)目錄,請單擊創(chuàng)建項目。為其指定所需的名稱和項目位置,將其指定為RTL 項目并選中選項Do not specify sources at this time 。

對于Default Part ,切換到Boards選項卡并搜索TE0727 。選擇它并單擊下一步。

?
?
?
poYBAGPjUaWAPm8qAAAxBSgnYEM113.png
?
1 / 5
?

和成功!本地板預(yù)設(shè)存儲庫正在運行!

ZynqberryZero IP 存儲庫

由于 ZynqberryZero 的官方 IP 庫和塊設(shè)計 TCL 腳本尚不可用,我繼續(xù)手動升級它們并將它們附加到該項目。

在繼續(xù) Vivado 項目中的設(shè)計之前,將下面附帶的 IP 庫復(fù)制到某個可訪問的位置。我個人喜歡將這樣的項目特定 IP 庫復(fù)制到我正在使用它們的相應(yīng) Vivado 項目目錄中。如果我使用一個來創(chuàng)建塊設(shè)計,我也會將塊設(shè)計 TCL 腳本放入 Vivado 項目目錄中在這種情況下。

將 IP 庫存儲庫和塊設(shè)計 TCL 腳本復(fù)制到項目中:

~$ mkdir -p //docs
~$ cp ./Downloads/zsys.tcl //docs
~$ cp -R ./Downloads/ip_lib //

將 IP 庫復(fù)制到 Vivado 工程目錄后,需要將其作為有效的 IP 存儲庫添加到 Vivado 工程設(shè)置中。

在 Vivado 中打開設(shè)置,然后導(dǎo)航到IP下的存儲庫。單擊+按鈕并指向 IP 庫文件夾。

?
?
?
poYBAGPjUaqAEozzAABEQVPbYmc812.png
?
1 / 4
?

區(qū)塊設(shè)計

通過從 Vivado 項目的 TCL 控制臺運行以下命令,從 TCL 腳本為 ZynqberryZero 重新創(chuàng)建塊設(shè)計(從空白的新項目執(zhí)行此操作,在運行此腳本之前不要單擊創(chuàng)建塊設(shè)計):

source //docs/zsys.tcl
pYYBAGPjUa-AaV-rAACP3EPH4uA236.png
?

等待設(shè)計完全填充并發(fā)生所有連接。將有幾個關(guān)于如何連接重置線的嚴重警告,但現(xiàn)在可以忽略這些警告。

pYYBAGPjUb2AUrvjAAQwsW38mEM094.png
?

重新生成設(shè)計,使其看起來不那么凌亂:

?
?
?
poYBAGPjUcCAPb_XAAAvwt-vnP0465.png
?
1 / 2
?

該腳本已經(jīng)運行了設(shè)計驗證,但我仍然喜歡手動運行驗證以確保。

?
?
?
poYBAGPjUcOADfcfAAAoLJ3UF5o860.png
?
1 / 3
?

保存塊設(shè)計。

pYYBAGPjUcWAW30WAACQf377yt4245.png
?

創(chuàng)建 HDL 包裝器以實例化項目中的模塊設(shè)計。右鍵單擊Sources選項卡中的模塊設(shè)計并選擇Create HDL Wrapper...

?
?
?
poYBAGPjUceAZ7dCAACFWheAlrE338.png
?
1 / 4
?

塊設(shè)計完成且頂層 HDL 包裝器就位后,需要添加設(shè)計約束以指定信號映射到 FPGA 上的封裝引腳等內(nèi)容。

Flow Navigator窗口中,單擊Add Sources 。選擇添加以創(chuàng)建約束,然后選擇添加文件并使用附加到本文的約束文件。確保在單擊Finish之前選擇了Copy constraints files into project選項。

?
?
?
pYYBAGNY6QGAEhTLAAAo-Ek4E3U710.png
?
1 / 5
?

由于存在多個約束文件,因此需要將一個約束文件指定為目標(biāo)約束文件,以便 Vivado 知道在需要時將新約束寫入何處(但所有約束都會讀入設(shè)計中)。右鍵單擊Sources選項卡中的vivado_target.xdc約束文件,然后選擇Set as Target Constraint File 。

生成比特流

現(xiàn)在 ZynqberryZero 的完整硬件設(shè)計已經(jīng)到位,是時候?qū)ζ溥M行綜合、布局和布線并生成比特流了。您可以點擊Generate Bitstream ,Vivado 也會自動運行綜合和實現(xiàn)。

poYBAGPjUc6AHyh4AABOfaoLuFY628.png
?

成功生成比特流后,導(dǎo)出用于 Vitis 的硬件,為 Zynq SoC 的 ARM 內(nèi)核處理器開發(fā)軟件(是的,會有一些時序違規(guī),但我還沒有看到任何問題,并且我仍在努力弄清楚如何修復(fù)它們)。

單擊文件>導(dǎo)出>導(dǎo)出硬件...

請務(wù)必選擇Include bitstream選項。我總是將硬件平臺導(dǎo)出到 Vivado 項目目錄的頂層(默認),但您可以選擇您喜歡的任何目錄。

?
?
?
poYBAGPjdPqABX5iAACMPfcqwhg389.png
?
1 / 5
?

從 Vivado 導(dǎo)出硬件平臺后,通過在 Vivado 中選擇工具>啟動 Vitis IDE來啟動 Vitis 。

?
?
?
poYBAGPjdP2ACihfAAC3PuTZ6zM260.png
?
1 / 2
?

同樣,您可以選擇任何所需的目錄來啟動 Vitis 工作區(qū),但我個人喜歡在 Vivado 項目目錄的頂層為其創(chuàng)建一個名為vitis_??workspace的文件夾。

Vitis 平臺項目

Vitis 啟動到新工作區(qū)后,通過選擇 Create Platform Project 創(chuàng)建新的平臺項目。為其指定所需的名稱,并指定從 Vivado 導(dǎo)出的硬件(XSA 文件)以用于要從中創(chuàng)建的平臺項目。

?
?
?
poYBAGPjdQuARt2BAABIrpcAnPo952.png
?
1 / 3
?

在平臺生成后構(gòu)建平臺(因為它一開始會顯示過時)。

?
?
?
pYYBAGPjdRSAMo32AAJHOiSBaL8076.png
?
1 / 2
?

Vitis 應(yīng)用項目

通過平臺項目準(zhǔn)備好硬件平臺和 BSP(板級支持包)后,創(chuàng)建要在其上運行的應(yīng)用項目。

選擇新建>應(yīng)用程序項目...

選擇上一步中由平臺項目創(chuàng)建的平臺,并為應(yīng)用程序指定所需的名稱。使用Hello World應(yīng)用程序模板。

?
?
?
pYYBAGPjdSiASxqAAAChGNAhlt0181.png
?
1 / 6
?

生成后構(gòu)建應(yīng)用程序。

pYYBAGPjdTWAJtScAAOjGcEqL2g104.png
?

Zynq FSBL 應(yīng)用項目

為了將 Hello World 應(yīng)用程序刷入 ZynqberryZero 的 QSPI 閃存,需要創(chuàng)建和修改 Zynq 第一階段引導(dǎo)加載程序應(yīng)用程序項目以從 JTAG 引導(dǎo)。Zynq 芯片需要從 JTAG 引導(dǎo),以便主機 PC 可以將引導(dǎo)映像編程到 QSPI 閃存上。

在創(chuàng)建 Zynq FSBL 應(yīng)用程序之前,在平臺項目的獨立 BSP 中啟用通用 FAT 文件系統(tǒng)庫 (xilffs)。

Explorer窗口中選擇 .xpr 文件,然后在 standalone on ps7_cortexa9_0下選擇Board Support Package 。單擊Modify BSP Settings...并選中xilffs旁邊的框。

?
?
?
pYYBAGPjdTmADXXZAAFk0AnVG_k263.png
?
1 / 4
?

基于Zynq FSBL模板,按照之前的方法再創(chuàng)建一個應(yīng)用工程:

?
?
?
pYYBAGPjdUGAFSd7AABdl_ehpGA203.png
?
1 / 3
?

等待 Zynq FSBL 的應(yīng)用項目生成。

pYYBAGPjdUqATQQHAANR7dWA-Xw629.png
?

打開 main.c 并將啟動模式更新為 JTAG 啟動模式并跳過內(nèi)存外設(shè)測試。

?
?
?
pYYBAGPjdVaAWVgbAAILVHFDgf4961.png
?
1 / 3
?

您還可以在下面找到修改后的 main.c。

閃存 Zynqberry 零內(nèi)存

通過在資源管理器窗口中右鍵單擊 Hello World 應(yīng)用程序并選擇Create Boot Image來為 ZynqberryZero 創(chuàng)建啟動映像。由于從 Hello World 應(yīng)用程序的右鍵單擊菜單中選擇了創(chuàng)建啟動映像選項,因此將自動生成啟動分區(qū)和文件路徑。

您可以看到 FPGA 比特流、Hello World ELF 和 QSPI Zynq FSBL 是構(gòu)成 ZynqberryZero 的啟動二進制文件 (BOOT.BIN) 的啟動分區(qū)。

單擊創(chuàng)建圖像。

?
?
?
pYYBAGPjdVqAI5IrAACxPav0_RU823.png
?
1 / 3
?

通過再次右鍵單擊資源管理器窗口中的 Hello World 應(yīng)用程序并選擇Program Flash來對 ZynqberryZero 的 QSPI 閃存進行編程。

?
?
?
pYYBAGPjdWOAdOnVAADEw-AVHJY107.png
?
1 / 2
?

使用剛剛創(chuàng)建的引導(dǎo)二進制文件 (BOOT.BIN) 作為映像文件。設(shè)置Offset為0x0,Flash Type為qspi-x4-single,使用JTAG Zynq FSBL的ELF文件作為Init File 。還要選中Verify after flash選項。

poYBAGPjdWeAPTMiAABMm-HWXh8770.png
?

?


下載該資料的人也在下載 下載該資料的人還在閱讀
更多 >

評論

查看更多

下載排行

本周

  1. 1山景DSP芯片AP8248A2數(shù)據(jù)手冊
  2. 1.06 MB  |  532次下載  |  免費
  3. 2RK3399完整板原理圖(支持平板,盒子VR)
  4. 3.28 MB  |  339次下載  |  免費
  5. 3TC358743XBG評估板參考手冊
  6. 1.36 MB  |  330次下載  |  免費
  7. 4DFM軟件使用教程
  8. 0.84 MB  |  295次下載  |  免費
  9. 5元宇宙深度解析—未來的未來-風(fēng)口還是泡沫
  10. 6.40 MB  |  227次下載  |  免費
  11. 6迪文DGUS開發(fā)指南
  12. 31.67 MB  |  194次下載  |  免費
  13. 7元宇宙底層硬件系列報告
  14. 13.42 MB  |  182次下載  |  免費
  15. 8FP5207XR-G1中文應(yīng)用手冊
  16. 1.09 MB  |  178次下載  |  免費

本月

  1. 1OrCAD10.5下載OrCAD10.5中文版軟件
  2. 0.00 MB  |  234315次下載  |  免費
  3. 2555集成電路應(yīng)用800例(新編版)
  4. 0.00 MB  |  33566次下載  |  免費
  5. 3接口電路圖大全
  6. 未知  |  30323次下載  |  免費
  7. 4開關(guān)電源設(shè)計實例指南
  8. 未知  |  21549次下載  |  免費
  9. 5電氣工程師手冊免費下載(新編第二版pdf電子書)
  10. 0.00 MB  |  15349次下載  |  免費
  11. 6數(shù)字電路基礎(chǔ)pdf(下載)
  12. 未知  |  13750次下載  |  免費
  13. 7電子制作實例集錦 下載
  14. 未知  |  8113次下載  |  免費
  15. 8《LED驅(qū)動電路設(shè)計》 溫德爾著
  16. 0.00 MB  |  6656次下載  |  免費

總榜

  1. 1matlab軟件下載入口
  2. 未知  |  935054次下載  |  免費
  3. 2protel99se軟件下載(可英文版轉(zhuǎn)中文版)
  4. 78.1 MB  |  537798次下載  |  免費
  5. 3MATLAB 7.1 下載 (含軟件介紹)
  6. 未知  |  420027次下載  |  免費
  7. 4OrCAD10.5下載OrCAD10.5中文版軟件
  8. 0.00 MB  |  234315次下載  |  免費
  9. 5Altium DXP2002下載入口
  10. 未知  |  233046次下載  |  免費
  11. 6電路仿真軟件multisim 10.0免費下載
  12. 340992  |  191187次下載  |  免費
  13. 7十天學(xué)會AVR單片機與C語言視頻教程 下載
  14. 158M  |  183279次下載  |  免費
  15. 8proe5.0野火版下載(中文版免費下載)
  16. 未知  |  138040次下載  |  免費