電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示
創(chuàng)作
電子發(fā)燒友網(wǎng)>電子資料下載>電子資料>緊湊型多開關(guān)數(shù)據(jù)記錄器開源分享

緊湊型多開關(guān)數(shù)據(jù)記錄器開源分享

2023-06-20 | zip | 0.00 MB | 次下載 | 免費(fèi)

資料介紹

描述

我在這個(gè)項(xiàng)目中描述了如何通過將不同類型的開關(guān)直接連接到 Hexabitz uSD 模塊 (H1BR60) 并將它們?nèi)坑涗浀絾蝹€(gè) microSD 卡日志來構(gòu)建一個(gè)微型數(shù)據(jù)記錄器。該項(xiàng)目教您如何同時(shí)運(yùn)行具有不同配置和多個(gè)變量的多個(gè)日志。四個(gè)傳感器/開關(guān)連接到單個(gè) H1BR60(microSD 卡)模塊:兩個(gè)機(jī)械限位開關(guān)、一個(gè)光學(xué)限位開關(guān)和一個(gè)磁性開關(guān)。

它是什么?

四個(gè)傳感器/開關(guān)連接到單個(gè) H1BR60 模塊:兩個(gè)機(jī)械限位開關(guān)、一個(gè)光學(xué)限位開關(guān)(用于 3d 打印機(jī))和一個(gè)磁簧開關(guān)(也是機(jī)械的,通常用于門等)這個(gè)例子特別展示了如何PortButtons BOS 功能可用于許多不同的實(shí)現(xiàn),而不僅僅是讀取用戶按鈕。我們將同時(shí)運(yùn)行兩種不同類型的日志,一種是基于速率的,另一種是基于事件的。

預(yù)計(jì)硬件構(gòu)建時(shí)間:5 分鐘?

預(yù)計(jì)軟件開發(fā)時(shí)間:5-10分鐘

?

?

?
?
?
?
pYYBAGOpdNaAQIa1AA_hW7oz9Us941.jpg
?
1 / 2
?

?

代碼說明

端口開關(guān)/按鈕功能是 BOS(Bitz 操作系統(tǒng),底層 Hexabitz 后端)功能,可將陣列串行端口轉(zhuǎn)換為 I/O 端口,可以通過易于使用的 API 對(duì)外部開關(guān)進(jìn)行采樣。在此示例中,我們定義了四個(gè)開關(guān)并將每個(gè)開關(guān)與不同的事件相關(guān)聯(lián)。?

AddPortButton(MOMENTARY_NO, P1);            // Magnetic
SetButtonEvents(P1, 0, 0, 1, 0, 0, 1, 0, 0);
AddPortButton(MOMENTARY_NO, P3);            // Limit Switch 1
SetButtonEvents(P3, 1, 0, 3, 0, 0, 0, 0, 0);
AddPortButton(MOMENTARY_NO, P4);            // Limit Switch 2
SetButtonEvents(P4, 1, 0, 3, 0, 0, 0, 0, 0);
AddPortButton(MOMENTARY_NC, P5);            // Optical
SetButtonEvents(P5, 1, 0, 1, 0, 0, 1, 0, 0);

光開關(guān)與其他的有點(diǎn)不同。它不是連接和斷開信號(hào)的純機(jī)械開關(guān)。BOS 端口按鈕功能的工作方式是在端口 TXD 引腳上輸出 1 (3.3V) 并在 RXD 引腳上讀回。如果機(jī)械開關(guān)導(dǎo)致這兩條線連接在一起,則會(huì)被檢測(cè)到。光開關(guān)有3個(gè)引腳:電源、地和信號(hào)輸出。盡管如此,我通過將其電源引腳連接到端口 TXD(開關(guān)兼容 3.3V-5V),將其信號(hào)引腳連接到端口 RXD 并將其接地連接到模塊 GND,將其改裝成端口按鈕/開關(guān)。所以基本上它會(huì)在短時(shí)間內(nèi)通電,但足以產(chǎn)生信號(hào)并進(jìn)行測(cè)量。這很有效,因?yàn)榻K點(diǎn)站是一個(gè)簡單的開關(guān),沒有時(shí)間延遲或復(fù)雜性。

然后我們?cè)谙旅鎰?chuàng)建一個(gè)基于事件的日志并記錄所有的開關(guān):

CreateLog("Event", EVENT, 10, FMT_COMMA, FMT_SAMPLE, "#");
LogVar("Event", PORT_BUTTON, P1, "Magnetic");
LogVar("Event", PORT_BUTTON, P3, "LS1");
LogVar("Event", PORT_BUTTON, P4, "LS2");
LogVar("Event", PORT_BUTTON, P5, "Optical");

然后開始記錄并在 30 秒后停止。LED 指示燈用于顯示一些閃爍!?

IND_ON(); 
    
StartLog("Event");
Delay_s(30);    
StopLog("Event");
    
IND_OFF();                // Signal the end of the log
Delay_ms(300); IND_blink(200);        
Delay_ms(300); IND_blink(200);

?基于事件的日志可以很容易地替換為基于速率的日志,如下所示:

CreateLog("Rate", RATE, 10, FMT_SPACE, FMT_SAMPLE, "#");

您還可以同時(shí)運(yùn)行兩個(gè)日志并同時(shí)或獨(dú)立地啟動(dòng)/停止它們。使用所有 Hexabitz 模塊中可用的內(nèi)部 RTC 和日歷,您還可以設(shè)置帶有時(shí)間戳的基于時(shí)間的日志。查看下面的一些基于時(shí)間的日志的屏幕截圖。演示代碼和示例日志已附加到該項(xiàng)目。

?

?
?
?
?
poYBAGOpdNqAYMChAAA_Hywwi5Y510.jpg
?
1 / 4
?

?


下載該資料的人也在下載 下載該資料的人還在閱讀
更多 >

評(píng)論

查看更多

下載排行

本周

  1. 1山景DSP芯片AP8248A2數(shù)據(jù)手冊(cè)
  2. 1.06 MB  |  532次下載  |  免費(fèi)
  3. 2RK3399完整板原理圖(支持平板,盒子VR)
  4. 3.28 MB  |  339次下載  |  免費(fèi)
  5. 3TC358743XBG評(píng)估板參考手冊(cè)
  6. 1.36 MB  |  330次下載  |  免費(fèi)
  7. 4DFM軟件使用教程
  8. 0.84 MB  |  295次下載  |  免費(fèi)
  9. 5元宇宙深度解析—未來的未來-風(fēng)口還是泡沫
  10. 6.40 MB  |  227次下載  |  免費(fèi)
  11. 6迪文DGUS開發(fā)指南
  12. 31.67 MB  |  194次下載  |  免費(fèi)
  13. 7元宇宙底層硬件系列報(bào)告
  14. 13.42 MB  |  182次下載  |  免費(fèi)
  15. 8FP5207XR-G1中文應(yīng)用手冊(cè)
  16. 1.09 MB  |  178次下載  |  免費(fèi)

本月

  1. 1OrCAD10.5下載OrCAD10.5中文版軟件
  2. 0.00 MB  |  234315次下載  |  免費(fèi)
  3. 2555集成電路應(yīng)用800例(新編版)
  4. 0.00 MB  |  33566次下載  |  免費(fèi)
  5. 3接口電路圖大全
  6. 未知  |  30323次下載  |  免費(fèi)
  7. 4開關(guān)電源設(shè)計(jì)實(shí)例指南
  8. 未知  |  21549次下載  |  免費(fèi)
  9. 5電氣工程師手冊(cè)免費(fèi)下載(新編第二版pdf電子書)
  10. 0.00 MB  |  15349次下載  |  免費(fèi)
  11. 6數(shù)字電路基礎(chǔ)pdf(下載)
  12. 未知  |  13750次下載  |  免費(fèi)
  13. 7電子制作實(shí)例集錦 下載
  14. 未知  |  8113次下載  |  免費(fèi)
  15. 8《LED驅(qū)動(dòng)電路設(shè)計(jì)》 溫德爾著
  16. 0.00 MB  |  6656次下載  |  免費(fèi)

總榜

  1. 1matlab軟件下載入口
  2. 未知  |  935054次下載  |  免費(fèi)
  3. 2protel99se軟件下載(可英文版轉(zhuǎn)中文版)
  4. 78.1 MB  |  537798次下載  |  免費(fèi)
  5. 3MATLAB 7.1 下載 (含軟件介紹)
  6. 未知  |  420027次下載  |  免費(fèi)
  7. 4OrCAD10.5下載OrCAD10.5中文版軟件
  8. 0.00 MB  |  234315次下載  |  免費(fèi)
  9. 5Altium DXP2002下載入口
  10. 未知  |  233046次下載  |  免費(fèi)
  11. 6電路仿真軟件multisim 10.0免費(fèi)下載
  12. 340992  |  191187次下載  |  免費(fèi)
  13. 7十天學(xué)會(huì)AVR單片機(jī)與C語言視頻教程 下載
  14. 158M  |  183279次下載  |  免費(fèi)
  15. 8proe5.0野火版下載(中文版免費(fèi)下載)
  16. 未知  |  138040次下載  |  免費(fèi)