0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

標簽 > I2S

I2S

+關(guān)注 0人關(guān)注

I2S總線, 又稱 集成電路內(nèi)置音頻總線,是飛利浦公司為數(shù)字音頻設(shè)備之間的音頻數(shù)據(jù)傳輸而制定的一種總線標準,該總線專門用于音頻設(shè)備之間的數(shù)據(jù)傳輸,廣泛應(yīng)用于各種多媒體系統(tǒng)。

文章: 23
視頻: 9
瀏覽: 41655
帖子: 226

I2S簡介

  I2S(Inter—IC Sound)總線, 又稱 集成電路內(nèi)置音頻總線,是飛利浦公司為數(shù)字音頻設(shè)備之間的音頻數(shù)據(jù)傳輸而制定的一種總線標準,該總線專門用于音頻設(shè)備之間的數(shù)據(jù)傳輸,廣泛應(yīng)用于各種多媒體系統(tǒng)。它采用了沿獨立的導(dǎo)線傳輸時鐘與數(shù)據(jù)信號的設(shè)計,通過將數(shù)據(jù)和時鐘信號分離,避免了因時差誘發(fā)的失真,為用戶節(jié)省了購買抵抗音頻抖動的專業(yè)設(shè)備的費用。

  I2S(Inter-IC Sound)是飛利浦公司針對數(shù)字音頻設(shè)備(如CD播放器、數(shù)碼音效處理器、數(shù)字電視音響系統(tǒng))之間的音頻數(shù)據(jù)傳輸而制定的一種總線標準。它采用了獨立的導(dǎo)線傳輸時鐘與數(shù)據(jù)信號的設(shè)計,通過將數(shù)據(jù)和時鐘信號分離,避免了因時差誘發(fā)的失真,為用戶節(jié)省了購買抵抗音頻抖動的專業(yè)設(shè)備的費用。標準的I2S總線電纜是由3根串行導(dǎo)線組成的:1根是時分多路復(fù)用(簡稱TDM)數(shù)據(jù)線;1根是字選擇線;1根是時鐘線。

I2S百科

  I2S(Inter—IC Sound)總線, 又稱 集成電路內(nèi)置音頻總線,是飛利浦公司為數(shù)字音頻設(shè)備之間的音頻數(shù)據(jù)傳輸而制定的一種總線標準,該總線專門用于音頻設(shè)備之間的數(shù)據(jù)傳輸,廣泛應(yīng)用于各種多媒體系統(tǒng)。它采用了沿獨立的導(dǎo)線傳輸時鐘與數(shù)據(jù)信號的設(shè)計,通過將數(shù)據(jù)和時鐘信號分離,避免了因時差誘發(fā)的失真,為用戶節(jié)省了購買抵抗音頻抖動的專業(yè)設(shè)備的費用。

  I2S(Inter-IC Sound)是飛利浦公司針對數(shù)字音頻設(shè)備(如CD播放器、數(shù)碼音效處理器、數(shù)字電視音響系統(tǒng))之間的音頻數(shù)據(jù)傳輸而制定的一種總線標準。它采用了獨立的導(dǎo)線傳輸時鐘與數(shù)據(jù)信號的設(shè)計,通過將數(shù)據(jù)和時鐘信號分離,避免了因時差誘發(fā)的失真,為用戶節(jié)省了購買抵抗音頻抖動的專業(yè)設(shè)備的費用。標準的I2S總線電纜是由3根串行導(dǎo)線組成的:1根是時分多路復(fù)用(簡稱TDM)數(shù)據(jù)線;1根是字選擇線;1根是時鐘線。

  I2S總線概述

  音響數(shù)據(jù)的采集、處理和傳輸是多媒體技術(shù)的重要組成部分。眾多的數(shù)字音頻系統(tǒng)已經(jīng)進入消費市場,例如數(shù)字音頻錄音帶、數(shù)字聲音處理器。對于設(shè)備和生產(chǎn)廠家來說,標準化的信息傳輸結(jié)構(gòu)可以提高系統(tǒng)的適應(yīng)性。I2S(Inter—IC Sound)總線是飛利浦公司為數(shù)字音頻設(shè)備之間的音頻數(shù)據(jù)傳輸而制定的一種總線標準,該總線專責于音頻設(shè)備之間的數(shù)據(jù)傳輸,廣泛應(yīng)用于各種多媒體系統(tǒng)。它采用了沿獨立的導(dǎo)線傳輸時鐘與數(shù)據(jù)信號的設(shè)計,通過將數(shù)據(jù)和時鐘信號分離,避免了因時差誘發(fā)的失真,為用戶節(jié)省了購買抵抗音頻抖動的專業(yè)設(shè)備的費用。

  I2S總線規(guī)范

  在飛利浦公司的I2S標準中,既規(guī)定了硬件接口規(guī)范,也規(guī)定了數(shù)字音頻數(shù)據(jù)的格式。

  I2S有3個主要信號

  1.串行時鐘SCLK,也叫位時鐘(BCLK),即對應(yīng)數(shù)字音頻的每一位數(shù)據(jù),SCLK都有1個脈沖。SCLK的頻率=2×采樣頻率×采樣位數(shù)。2. 幀時鐘LRCK,(也稱WS),用于切換左右聲道的數(shù)據(jù)。LRCK為“1”表示正在傳輸?shù)氖怯衣暤赖臄?shù)據(jù),為“0”則表示正在傳輸?shù)氖亲舐暤赖臄?shù)據(jù)。LRCK的頻率等于采樣頻率。3.串行數(shù)據(jù)SDATA,就是用二進制補碼表示的音頻數(shù)據(jù)。有時為了使系統(tǒng)間能夠更好地同步,還需要另外傳輸一個信號MCLK,稱為主時鐘,也叫系統(tǒng)時鐘(Sys Clock),是采樣頻率的256倍或384倍。

  串行數(shù)據(jù)(SD)

  I2S格式的信號無論有多少位有效數(shù)據(jù),數(shù)據(jù)的最高位總是出現(xiàn)在LRCK變化(也就是一幀開始)后的第2個SCLK脈沖處。這就使得接收端與發(fā)送端的有效位數(shù)可以不同。如果接收端能處理的有效位數(shù)少于發(fā)送端,可以放棄數(shù)據(jù)幀中多余的低位數(shù)據(jù);如果接收端能處理的有效位數(shù)多于發(fā)送端,可以自行補足剩余的位。這種同步機制使得數(shù)字音頻設(shè)備的互連更加方便,而且不會造成數(shù)據(jù)錯位。隨著技術(shù)的發(fā)展,在統(tǒng)一的 I2S接口下,出現(xiàn)了多種不同的數(shù)據(jù)格式。根據(jù)SDATA數(shù)據(jù)相對于LRCK和SCLK的位置不同,分為左對齊(較少使用)、I2S格式(即飛利浦規(guī)定的格式)和右對齊(也叫日本格式、普通格式)。為了保證數(shù)字音頻信號的正確傳輸,發(fā)送端和接收端應(yīng)該采用相同的數(shù)據(jù)格式和長度。當然,對I2S格式來說數(shù)據(jù)長度可以不同。

  字段(聲道)選擇(WS)

  命令選擇線表明了正在被傳輸?shù)穆暤?。WS=0,表示正在傳輸?shù)氖亲舐暤赖臄?shù)據(jù)。WS=1,表示正在傳輸?shù)氖怯衣暤赖臄?shù)據(jù)。WS可以在串行時鐘的上升沿或者下降沿發(fā)生改變,并且WS信號不需要一定是對稱的。在從屬裝置端,WS在時鐘信號的上升沿發(fā)生改變。WS總是在最高位傳輸前的一個時鐘周期發(fā)生改變,這樣可以使從屬裝置得到與被傳輸?shù)拇袛?shù)據(jù)同步的時間,并且使接收端存儲當前的命令以及為下次的命令清除空間。電氣規(guī)范:輸出電壓:VL 《0.4VVH》2.4V輸入電壓VIL《0.8VVIH》2.0V注:這是使用的TTL電平標準,隨著其他IC(LSI)的流行,其他電平也會支持。

  時序要求

  在I2s總線中,任何設(shè)備都可以通過提供必需的時鐘信號成為系統(tǒng)的主導(dǎo)裝置,而從屬裝置通過外部時鐘信號來得到它的內(nèi)部時鐘信號,這就意味著必須重視主導(dǎo)裝置和數(shù)據(jù)以及命令選擇信號之間的傳播延遲,總的延遲主要由兩部分組成:1.外部時鐘和從屬裝置的內(nèi)部時鐘之間的延遲2.內(nèi)部時鐘和數(shù)據(jù)信號以及命令選擇信號之間的延遲對于數(shù)據(jù)和命令信號的輸入,外部時鐘和內(nèi)部時鐘的延遲不占據(jù)主導(dǎo)地位,它只是延長了有效的建立時間(set—up time)。延遲的主要部分是發(fā)送端的傳輸延遲和設(shè)置接收端所需的時間。T是時鐘周期,Tr是最小允許時鐘周期,T》Tr這樣發(fā)送端和接收端才能滿足數(shù)據(jù)傳輸速率的要求。對于所有的數(shù)據(jù)速率,發(fā)送端和接收端均發(fā)出一個具有固定的傳號空號比(mark—space ratio)的時鐘信號,所以t LC和tHC是由T所定義的。 t LC和tHC必須大于0.35T,這樣信號在從屬裝置端就可以被檢測到。延遲(tdtr)和最快的傳輸速度(由Ttr定義)是相關(guān)的,快的發(fā)送端信號在慢的時鐘上升沿可能導(dǎo)致tdtr不能超過tRC而使thtr為零或者負。只有tRC不大于tRCmax的時候(tRCmax》:0.15T),發(fā)送端才能保證thtr大于等于0。為了允許數(shù)據(jù)在下降沿被記錄,時鐘信號上升沿及T相關(guān)的時間延遲應(yīng)該給予接收端充分的建立時間(set-up time)。數(shù)據(jù)建立時間(set-up time)和保持時間(hold time)不能小于指定接收端的建立時間和保持時間。

  I2S總線結(jié)構(gòu)配置

  隨著WS信號的改變,導(dǎo)出一個WSP脈沖信號,進入并行移位寄存器,從而輸出數(shù)據(jù)被激活。串行數(shù)據(jù)的默認輸入是0,因此所有位于最低位(LSB)后的數(shù)據(jù)將被設(shè)置為0。

  隨著第一個WS信號的改變,WSP在SCK信號的下降沿重設(shè)計數(shù)器。在“1 out of n”譯碼器對計數(shù)器數(shù)值進行譯碼后,第一個串行的數(shù)據(jù)(MSB)在SCK時鐘信號的上升沿被存放進入B1,隨著計數(shù)器的增長,接下來的數(shù)據(jù)被依次存放進入B2到Bn中。在下一個WS信號改變的時候,數(shù)據(jù)根據(jù)WSP脈沖的變化被存放進入左(聲道)鎖存器或者右(聲道)鎖存器,并且將B2一Bn的數(shù)據(jù)清除以及計數(shù)器重設(shè),如果有冗余的數(shù)據(jù)則最低位之后的數(shù)據(jù)將被忽略。注意:譯碼器和計數(shù)器(虛線內(nèi)的部分)可以被一個n比特移位寄存器所代替。

  IIS總線接口可作為一個編碼解碼接口與外部8/16位的立體聲音頻解碼電路(CODEC IC)相連,從而實現(xiàn)微唱片和便攜式應(yīng)用。它支持IIS數(shù)據(jù)格式和MSB-Justified 數(shù)據(jù)格式。IIS總線接口為先進先出隊列FIFO的訪問提供DMA傳輸模式來取代中斷模式,可同時發(fā)送和接收數(shù)據(jù),也可只發(fā)送或接收數(shù)據(jù)。

查看詳情

i2s知識

展開查看更多

i2s技術(shù)

i.MX RT600 BCLK受干擾影響WS頻率解決方案

i.MX RT600 BCLK受干擾影響WS頻率解決方案

客戶使用i.MX RT600 I2S產(chǎn)生2通道的I2S波形,配置希望輸出I2S波形: 48Khz 采樣率,32bit, 2通道, BCLK輸出3.072...

2023-09-14 標簽:mcu恩智浦WS 928 0

雅特力AT32 MCU SPI/I2S入門指南

雅特力AT32 MCU SPI/I2S入門指南

AT32的SPI接口提供軟件編程配置選項,根據(jù)軟件編程配置方式不同,可以分別作為SPI和I2S使用。 本文將分SPI和I2S分別介紹SPI接口作SPI或...

2023-03-08 標簽:mcu接口SPI 4965 0

I2S通信總線的特點及常見數(shù)據(jù)格式介紹

I2S(Inter-IC Sound)總線,又稱集成電路內(nèi)置音頻總線,是飛利浦公司為數(shù)字音頻設(shè)備之間的音頻數(shù)據(jù)傳輸而制定的一種總線標準。采用了獨立的導(dǎo)線...

2022-12-09 標簽:集成電路I2C通信總線 3985 0

數(shù)字音頻信號如何傳輸:I2S協(xié)議

I2S(Inter—IC Sound)總線, 又稱集成電路內(nèi)置音頻總線,是飛利浦公司為數(shù)字音頻設(shè)備之間的音頻數(shù)據(jù)傳輸而制定的一種總線標準。采用沿獨立的導(dǎo)...

2022-12-05 標簽:總線I2S數(shù)字音頻信號 5798 0

基于MM32F5270控制器的I2S音頻播放

MM32F5270 系列控制器支持 I2S 總線接口,本章節(jié)在接下來會對 MM32F5270 I2S進行介紹,并使用 MM32F5270 和 CS434...

2022-09-16 標簽:控制器總線接口I2S 2044 0

基于ESP32的網(wǎng)絡(luò)收音機

基于ESP32的網(wǎng)絡(luò)收音機

模擬收音機在這個數(shù)字世界中逐漸消失,因為今天從電影到歌曲的所有內(nèi)容都直接從互聯(lián)網(wǎng)流式傳輸。讓我們看看如何通過利用一些簡單的數(shù)字電子設(shè)備、編碼和有效的互聯(lián)...

2022-08-02 標簽:網(wǎng)絡(luò)收音機I2SESP32 1.1萬 0

I2S音頻總線基本知識科普

I2S音頻總線基本知識科普

I2S(Inter—IC Sound)總線, 又稱集成電路內(nèi)置音頻總線,是飛利浦公司為數(shù)字音頻設(shè)備之間的音頻數(shù)據(jù)傳輸而制定的一種總線標準。

2022-04-12 標簽:音頻總線I2S 2.8萬 0

數(shù)字接口I2S數(shù)據(jù)傳輸模式和應(yīng)用框圖

數(shù)字接口I2S數(shù)據(jù)傳輸模式和應(yīng)用框圖

I2S(Inter-IC Sound)總線,是Philips公司為數(shù)字音頻傳輸制定的總線標準。

2020-10-12 標簽:數(shù)字接口I2S 8261 0

音頻總線I2S協(xié)議:I2S收發(fā)模塊FPGA的仿真設(shè)計

音頻總線I2S協(xié)議:I2S收發(fā)模塊FPGA的仿真設(shè)計

1 概述 I2S(Inter—IC Sound)總線, 又稱 集成電路內(nèi)置音頻總線,是飛利浦公司為數(shù)字音頻設(shè)備之間的音頻數(shù)據(jù)傳輸而制定的一種總線標準,該...

2020-12-14 標簽:fpgaI2S 8167 0

I2S接口概述和與DSP的連接方法

I2S接口概述和與DSP的連接方法

I2S或I2S(Inter-IC Sound或Integrated Interchip Sound)是由飛利浦公司(現(xiàn)NXP)在1986年制定的一種用于...

2020-01-27 標簽:dsp接口i2s 2.5萬 0

查看更多>>

i2s資訊

I2S、TDM、PCM音頻總線

I2S包括兩個聲道(Left/Right)的數(shù)據(jù),在主設(shè)備發(fā)出聲道選擇/字選擇(WS)控制下進行左右聲道數(shù)據(jù)切換。通過增加I2S接口的數(shù)目或其它I2S設(shè)...

2022-09-20 標簽:數(shù)據(jù)信號I2S時鐘信號 4214 0

I2S數(shù)字功放為什么會成為趨勢

I2S數(shù)字功放為什么會成為趨勢

ACM8625在性能上完美替代了TAS5805

2021-11-18 標簽:數(shù)字功放I2S 3671 0

基于FPGA的PCI-I2S音頻系統(tǒng)設(shè)計

基于FPGA的PCI-I2S音頻系統(tǒng)設(shè)計

提出了一種基于FPGA實現(xiàn)的PCI-I2S音頻系統(tǒng)方法。通過在FPGA中將PCI軟核、FIFO以及設(shè)計的接口電路等相結(jié)合,在FPGA上實現(xiàn)了 PCI、I...

2017-11-17 標簽:fpgapcii2s 2887 0

Silicon Labs推出業(yè)界首款USB轉(zhuǎn)I2S音訊橋接晶片

Silicon Laboratories (芯科實驗室有限公司)宣布推出業(yè)界首款無需外部石英震蕩器的USB轉(zhuǎn)I2S音訊橋接晶片,支援基于USB的音訊應(yīng)用...

2012-10-19 標簽:芯科實驗室I2S視音頻芯片 4426 0

基于FPGA和AD1836的I2S接口設(shè)計

基于FPGA和AD1836的I2S接口設(shè)計

I2S(Inter IC Sound Bus)是飛利浦公司為數(shù)字音頻設(shè)備之間的音頻數(shù)據(jù)傳輸而制定的一種總線標準,它既規(guī)定了硬件接口規(guī)范,也規(guī)定了數(shù)字音頻...

2011-03-29 標簽:FPGAAD1836I2S 6466 1

查看更多>>

i2s數(shù)據(jù)手冊

相關(guān)標簽

相關(guān)話題

換一批
  • 高云半導(dǎo)體
    高云半導(dǎo)體
    +關(guān)注
    廣東高云半導(dǎo)體科技股份有限公司提供編程設(shè)計軟件、IP核、參考設(shè)計、演示板等服務(wù)的完整FPGA芯片解決方案。
  • Zedboard
    Zedboard
    +關(guān)注
    ZedBoard是基于Xilinx Zynq?-7000擴展式處理平臺(EPP)的低成本開發(fā)板。此板可以運行基于Linux,Android,Windows?或其他OS/ RTOS的設(shè)計。
  • SoC FPGA
    SoC FPGA
    +關(guān)注
  • 簡單PLD
    簡單PLD
    +關(guān)注
  • UltraScale
    UltraScale
    +關(guān)注
  • 邏輯芯片
    邏輯芯片
    +關(guān)注
    邏輯芯片又叫可編程邏輯器件,英文全稱為:programmable logic device 即 PLD。PLD是做為一種通用集成電路產(chǎn)生的,他的邏輯功能按照用戶對器件編程來確定。一般的PLD的集成度很高,足以滿足設(shè)計一般的數(shù)字系統(tǒng)的需要。
  • 16nm
    16nm
    +關(guān)注
  • 三人表決器
    三人表決器
    +關(guān)注
  • Samtec
    Samtec
    +關(guān)注
    Samtec(申泰)公司是一家總部位于美國,致力于研發(fā)和生產(chǎn)高速數(shù)據(jù)通信連接器的供應(yīng)商,Samtec連接器使用100%液晶聚合物以及純磷青銅和鈹銅制造,擁有軍品級的技術(shù)參數(shù),其產(chǎn)品包括各種通用標準的連接器以及通信線纜,并且為客戶提供解決方案。
  • NCO
    NCO
    +關(guān)注
  • HLS
    HLS
    +關(guān)注
    HLS(HTTP Live Streaming)是Apple的動態(tài)碼率自適應(yīng)技術(shù)。主要用于PC和Apple終端的音視頻服務(wù)。包括一個m3u(8)的索引文件,TS媒體分片文件和key加密串文件。
  • 顯示模塊
    顯示模塊
    +關(guān)注
  • 信息娛樂系統(tǒng)
    信息娛樂系統(tǒng)
    +關(guān)注
  • 京微雅格
    京微雅格
    +關(guān)注
      京微雅格(北京)科技有限公司致力于為系統(tǒng)制造商提供高集成度、高靈活性、高性價比的可編程邏輯器件、可重構(gòu)微處理器及相關(guān)軟件設(shè)計工具
  • 智能魔鏡
    智能魔鏡
    +關(guān)注
    隨著物聯(lián)網(wǎng)技術(shù)的發(fā)展,搭載這一技術(shù)的家電也越來越多的出現(xiàn),今年十分火熱的智能音箱就是物聯(lián)網(wǎng)技術(shù)和人工智能結(jié)合的代表,智能魔鏡這種基安防,終端,自動化,人工智能的物聯(lián)網(wǎng)產(chǎn)品已經(jīng)成為了不可阻擋的趨勢,在未來,將更加全面、智能、便捷的走進越來越多人們的生活。
  • Cyclone V
    Cyclone V
    +關(guān)注
  • iCE40
    iCE40
    +關(guān)注
      為了滿足市場需求,萊迪思發(fā)布了iCE40 Ultra?產(chǎn)品系列。據(jù)萊迪思總裁兼CEO Darin G. Billerbeck介紹,相比競爭對手的解決方案,iCE40 Ultra FPGA在提供5倍更多功能的同時減小了30%的尺寸。并且相比以前的器件,功耗降低高達75%。
  • 空中客車
    空中客車
    +關(guān)注
    空中客車公司(Airbus,又稱空客、空中巴士),是歐洲一家飛機制造 、研發(fā)公司,1970年12月于法國成立。 空中客車公司的股份由歐洲宇航防務(wù)集團公司(EADS)100%持有。
  • 工業(yè)電機
    工業(yè)電機
    +關(guān)注
  • 諧振變換器
    諧振變換器
    +關(guān)注
    諧振變換器主要包括三種基本的類型:串聯(lián)諧振變換器(SRC)、并聯(lián)諧振變換器(PRC)和串并聯(lián)諧振變換器(SPRC)。諧振變換器由開關(guān)網(wǎng)絡(luò)Ns、諧振槽路NT、整流電路NR、低通濾波器NF等部分組成。
  • Digilent
    Digilent
    +關(guān)注
  • efpga
    efpga
    +關(guān)注
    eFPGA,全稱為嵌入式FPGA(Embedded FPGA),顧名思義是將類似于FPGA的可編程邏輯陣列“嵌入”到ASIC或SoC中。
  • 國產(chǎn)FPGA
    國產(chǎn)FPGA
    +關(guān)注
  • 圖像信號處理器
    圖像信號處理器
    +關(guān)注
  • UltraScale架構(gòu)
    UltraScale架構(gòu)
    +關(guān)注
  • 時鐘驅(qū)動器
    時鐘驅(qū)動器
    +關(guān)注
  • 數(shù)字預(yù)失真
    數(shù)字預(yù)失真
    +關(guān)注
  • TMS320C6416
    TMS320C6416
    +關(guān)注
  • BB-Black
    BB-Black
    +關(guān)注
  • 數(shù)字秒表
    數(shù)字秒表
    +關(guān)注

關(guān)注此標簽的用戶(1人)

liuyongwangzi

編輯推薦廠商產(chǎn)品技術(shù)軟件/工具OS/語言教程專題