0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

標(biāo)簽 > ModelSim

ModelSim

+關(guān)注 0人關(guān)注

  Mentor公司的ModelSim是業(yè)界最優(yōu)秀的HDL語言仿真軟件,它能提供友好的仿真環(huán)境,是業(yè)界唯一的單內(nèi)核支持VHDL和Verilog混合仿真的仿真器。它采用直接優(yōu)化的編譯技術(shù)、Tcl/Tk技術(shù)、和單一內(nèi)核仿真技術(shù),編譯仿真速度快,編譯的代碼與平臺無關(guān),便于保護IP核,個性化的圖形界面和用戶接口,為用戶加快調(diào)錯提供強有力的手段,是FPGA/ASIC設(shè)計的首選仿真軟件。

文章: 83
視頻: 56
瀏覽: 47010
帖子: 174

ModelSim簡介

  Mentor公司的ModelSim是業(yè)界最優(yōu)秀的HDL語言仿真軟件,它能提供友好的仿真環(huán)境,是業(yè)界唯一的單內(nèi)核支持VHDL和Verilog混合仿真的仿真器。它采用直接優(yōu)化的編譯技術(shù)、Tcl/Tk技術(shù)、和單一內(nèi)核仿真技術(shù),編譯仿真速度快,編譯的代碼與平臺無關(guān),便于保護IP核,個性化的圖形界面和用戶接口,為用戶加快調(diào)錯提供強有力的手段,是FPGA/ASIC設(shè)計的首選仿真軟件。

ModelSim百科

  Mentor公司的ModelSim是業(yè)界最優(yōu)秀的HDL語言仿真軟件,它能提供友好的仿真環(huán)境,是業(yè)界唯一的單內(nèi)核支持VHDL和Verilog混合仿真的仿真器。它采用直接優(yōu)化的編譯技術(shù)、Tcl/Tk技術(shù)、和單一內(nèi)核仿真技術(shù),編譯仿真速度快,編譯的代碼與平臺無關(guān),便于保護IP核,個性化的圖形界面和用戶接口,為用戶加快調(diào)錯提供強有力的手段,是FPGA/ASIC設(shè)計的首選仿真軟件。

  主要特點

  · RTL和門級優(yōu)化,本地編譯結(jié)構(gòu),編譯仿真速度快,跨平臺跨版本仿真;

  · 單內(nèi)核VHDL和Verilog混合仿真;

  · 源代碼模版和助手,項目管理;

  · 集成了性能分析、波形比較、代碼覆蓋、數(shù)據(jù)流ChaseX、Signal Spy、虛擬對象Virtual Object、Memory窗口、Assertion窗口、源碼窗口顯示信號值、信號條件斷點等眾多調(diào)試功能;

  · C和Tcl/Tk接口,C調(diào)試;

  · 對SystemC的直接支持,和HDL任意混合;

  · 支持SystemVerilog的設(shè)計功能;

  · 對系統(tǒng)級描述語言的最全面支持,SystemVerilog,SystemC,PSL;

  · ASIC Sign off。

  · 可以單獨或同時進行行為(behavioral)、RTL級、和門級(gate-level)的代碼。

查看詳情

modelsim知識

展開查看更多

modelsim技術(shù)

ISE與Modelsim關(guān)聯(lián)設(shè)置的詳細操作步驟

ISE與Modelsim關(guān)聯(lián)設(shè)置的詳細操作步驟

最后,在output directory for complied libraries下面填入輸出已編譯庫的路徑,默認(rèn)也可。文件夾的名字以及路徑不能有中文。

2024-03-13 標(biāo)簽:ModelSim 1178 0

最實用的Modelsim使用及仿真的基本步驟

最實用的Modelsim使用及仿真的基本步驟

后仿真也稱為時序仿真或者布局布線后仿真,是指電路已經(jīng)映射到特定的工藝環(huán)境以后,綜合考慮電路的路徑延遲與門延遲的影響,驗證電路能否在一定時序條件下滿足設(shè)計...

2024-03-06 標(biāo)簽:ModelSim 7773 0

modelsim安裝運行patch閃退

模擬器的安裝和運行是計算機科學(xué)中非常重要的一環(huán)。ModelSim是一種流行的數(shù)字電子設(shè)計自動化工具,用于驗證和仿真硬件設(shè)計。然而,有時安裝和運行Patc...

2024-01-04 標(biāo)簽:計算機操作系統(tǒng)ModelSim 1123 0

Vivado2018版本中Modelsim的配置

Vivado2018版本中Modelsim的配置

Vivado自帶的仿真工具在一些基本功能的仿真測試時是可以滿足的,但如果你的工程較為龐大,那么自帶的仿真工具將有些勉強,除了在數(shù)據(jù)輸出方面的卡頓,在仿真...

2023-11-08 標(biāo)簽:CadenceModelSim模擬器 1490 0

如何用Python實現(xiàn)Vivado和ModelSim仿真自動化?

如何用Python實現(xiàn)Vivado和ModelSim仿真自動化?

我們在Windows系統(tǒng)下使用Vivado的默認(rèn)設(shè)置調(diào)用第三方仿真器比如ModelSim進行仿真時,一開始仿真軟件都會默認(rèn)在波形界面中加載testben...

2023-09-13 標(biāo)簽:二進制仿真仿真器 1063 0

vivado軟件和modelsim軟件的安裝方法

vivado軟件和modelsim軟件的安裝方法

本文詳細介紹了vivado軟件和modelsim軟件的安裝,以及vivado中配置modelsim仿真設(shè)置,每一步都加文字說明和圖片。

2023-08-07 標(biāo)簽:仿真軟件ModelSim 3950 0

傳統(tǒng)FPGA開發(fā)方式講解

傳統(tǒng)FPGA開發(fā)方式講解

對于一個軟件開發(fā)人員,可能聽說過 FPGA,甚至在大學(xué)課程設(shè)計中,可能拿FPGA做過計算機體系架構(gòu)相關(guān)的驗證,但是對于它的第一印象可能覺得這是硬件工程師...

2023-07-27 標(biāo)簽:fpga計算機ModelSim 758 0

ISE和Modelsim安裝破解教程

ISE和Modelsim安裝破解教程

工欲善其事必先利其器,本期我們講解工具軟件的安裝和破解(提示:本教程方法僅限于學(xué)習(xí)交流,請勿他用)

2023-07-27 標(biāo)簽:fpgaXilinxModelSim 2.4萬 0

Vivado調(diào)用Modelsim仿真

Vivado調(diào)用Modelsim仿真

Modelsim是十分常用的外部仿真工具,在Vivado中也可以調(diào)用Modelsim進行仿真,下面將介紹如何對vivado進行配置并調(diào)用Modelsim...

2023-07-24 標(biāo)簽:仿真ModelSimVivado 3138 0

modelsim自動化仿真實驗 利用腳本實現(xiàn)modelsim自動化仿真

modelsim自動化仿真實驗 利用腳本實現(xiàn)modelsim自動化仿真

大家好!今天給大家?guī)淼氖莔odelsim自動化仿真程序。我們在代碼編寫完成時,通常都需要先進行仿真,然后上板實驗。但是如果我們每次仿真都要去新建一個工...

2023-07-19 標(biāo)簽:仿真程序ModelSim 1440 0

查看更多>>

modelsim資訊

如何加速Modelsim仿真時間

最近在Modelsim仿真過程中,遇到一個大問題,對于分辨率2048*500的圖像數(shù)據(jù),在進行時序約束中,發(fā)現(xiàn)算法模塊最高只能跑到60Mhz多,而要求必...

2022-08-08 標(biāo)簽:帶寬圖像數(shù)據(jù)ModelSim 1.3萬 0

ModelSim工程實戰(zhàn)之自動仿真

在該頁面中,如果你安裝的是 ModelSim 軟件,那么你需要在 ModelSim 路徑中進行設(shè)置,這里我們使用的 ModelSim-Altera , ...

2022-05-17 標(biāo)簽:仿真edaModelSim 2169 0

如何通過采用modelsim仿真波形圖實現(xiàn)字符點陣顯示

將字符點陣文件中的提示信息,備注,標(biāo)點符號等全部刪除,只留下點陣的編碼。并將相鄰奇偶兩行的數(shù)據(jù)調(diào)整到一行。效果如下:

2022-03-15 標(biāo)簽:仿真modelsim 1564 0

Vivado與ModelSim的聯(lián)合仿真操作

Vivado自帶的仿真,個人覺得跑一些小模塊的仿真還是可以的,不過跑大的仿真系統(tǒng),容易無體驗感,建議用第三方工具,這邊就直接對ModelSim下手了,接...

2022-03-11 標(biāo)簽:仿真ModelSimVivado 7300 0

以工程的形式來介紹ModelSim的仿真使用

本篇將以工程的形式來介紹ModelSim的仿真使用,工程一般由:根目錄+源碼+work庫+資源庫+仿真設(shè)置+元數(shù)據(jù)組成,其中元數(shù)據(jù)就是工程的一些設(shè)置數(shù)據(jù)...

2022-03-11 標(biāo)簽:仿真源碼ModelSim 1801 0

Windows下Modelsim安裝步驟

Windows下Modelsim安裝步驟

1. 軟件基本介紹 軟件基本介紹 : Modelsim 是 Model Technology(Mentor Graphics 的子公司)的 HDL 硬件...

2021-11-09 標(biāo)簽:WINDOWS仿真器HDL 5573 0

如何夾帶modelsim的仿真波形白底黑線

Modelsim使用技巧—波形白底黑線設(shè)置 在發(fā)表期刊或者論文時,我們需要夾帶modelsim的仿真波形在我們的論文里,在modelsim默認(rèn)模式下的波...

2021-08-26 標(biāo)簽:仿真ModelSim 3772 0

探究Modelsim仿真--波形狀態(tài)機名稱顯示

探究Modelsim仿真--波形狀態(tài)機名稱顯示

在通常的modelsim波形仿真中,狀態(tài)機的顯示為16進制,如 3‘h1。如下圖所示str_cur為狀態(tài)跳變信號。 為了更加直觀的以文本形式顯示狀態(tài)機的...

2021-07-23 標(biāo)簽:ModelSim 1802 0

基于ModelSim使用modelsim手動時序仿真教程

時序仿真與功能仿真的步驟大體相同,只不過中間需要添加仿真庫、網(wǎng)表(.vo)文件和延時(.sdo)文件。到了這里,問題來了,仿真庫、網(wǎng)表(.vo)文件和延...

2021-07-23 標(biāo)簽:ModelSim 2156 0

基于ModelSim使用四ModelSim手動仿真教程

4.1 新建仿真工程 在開始動手仿真之前,首先,我們需要創(chuàng)建一個文件夾用來放置我們的 ModelSim 仿真工程文件,這里我們就在之前創(chuàng)建的 Quart...

2021-07-23 標(biāo)簽:ModelSim 3856 1

查看更多>>

modelsim數(shù)據(jù)手冊

相關(guān)標(biāo)簽

相關(guān)話題

換一批
  • Protues
    Protues
    +關(guān)注
    Proteus軟件是英國Lab Center Electronics公司出版的EDA工具軟件(該軟件中國總代理為廣州風(fēng)標(biāo)電子技術(shù)有限公司)。它不僅具有其它EDA工具軟件的仿真功能,還能仿真單片機及外圍器件。
  • 靜電防護
    靜電防護
    +關(guān)注
    為防止靜電積累所引起的人身電擊、火災(zāi)和爆炸、電子器件失效和損壞,以及對生產(chǎn)的不良影響而采取的防范措施。其防范原則主要是抑制靜電的產(chǎn)生,加速靜電泄漏,進行靜電中和等。
  • Altium Designer
    Altium Designer
    +關(guān)注
  • FPGA芯片
    FPGA芯片
    +關(guān)注
    FPGA(Field-Programmable Gate Array),即現(xiàn)場可編程門陣列,它是在PAL、GAL、CPLD等可編程器件的基礎(chǔ)上進一步發(fā)展的產(chǎn)物。
  • ArduBlock
    ArduBlock
    +關(guān)注
    ArduBlock軟件是Arduino官方編程環(huán)境的第三方軟件,目前必須依附于Arduino軟件下運行,區(qū)別于Arduino文本式編程環(huán)境,ArduBlock是以圖形化積木搭建的方式編程的,這樣的方式會使編程的可視化和交互性加強,編程門檻降低,即使沒有編程經(jīng)驗的人也可以嘗試給Arduino控制器編寫程序。
  • AD10
    AD10
    +關(guān)注
  • 識別
    識別
    +關(guān)注
  • FPGA開發(fā)板
    FPGA開發(fā)板
    +關(guān)注
    FPGA開發(fā)板在基于MCU、定制ASIC和體積龐大的電線束來實現(xiàn)引擎及控制電子的系統(tǒng)方案已發(fā)展至接近其技術(shù)和應(yīng)用極限,汽車工業(yè)正面臨新的設(shè)計挑戰(zhàn)。過去汽車電子產(chǎn)品的開發(fā)周期是漫長的,而許多汽車制造商現(xiàn)正致力于在更短的時間內(nèi),裝備消費者所需的新一代汽車。
  • PCB封裝
    PCB封裝
    +關(guān)注
    pcb封裝就是把 實際的電子元器件,芯片等的各種參數(shù)(比如元器件的大小,長寬,直插,貼片,焊盤的大小,管腳的長寬,管腳的間距等)用圖形方式表現(xiàn)出來,以便可以在畫pcb圖時進行調(diào)用。
  • QUARTUS II
    QUARTUS II
    +關(guān)注
    Quartus II 是Altera公司推出的綜合性CPLD/FPGA開發(fā)軟件,軟件支持原理圖、VHDL、VerilogHDL以及AHDL(Altera Hardware 支持Description Language)等多種設(shè)計輸入形式,內(nèi)嵌自有的綜合器以及仿真器,可以完成從設(shè)計輸入到硬件配置的完整PLD設(shè)計流程。
  • PCB封裝庫
    PCB封裝庫
    +關(guān)注
  • 語音交互
    語音交互
    +關(guān)注
  • AD09
    AD09
    +關(guān)注
  • PDN
    PDN
    +關(guān)注
  • QuickPcb
    QuickPcb
    +關(guān)注
  • Artix-7
    Artix-7
    +關(guān)注
      Artix-7 系列:相對于 Spartan-6 系列而言,Artix-7 系列功耗降低了一半, 成本降低了 35%,采用小型化封裝、統(tǒng)一的 Virtex 系列架構(gòu),能滿足低成本大批量市場的性能要求,這也正是此前 ASSP、ASIC 和低成本 FPGA 所針對的市場領(lǐng)域。
  • VHDL代碼
    VHDL代碼
    +關(guān)注
  • Protel 99 se
    Protel 99 se
    +關(guān)注
  • powerlink
    powerlink
    +關(guān)注
  • candence
    candence
    +關(guān)注
  • 面包板
    面包板
    +關(guān)注
    面包板是由于板子上有很多小插孔,專為電子電路的無焊接實驗設(shè)計制造的。由于各種電子元器件可根據(jù)需要隨意插入或拔出,免去了焊接,節(jié)省了電路的組裝時間,而且元件可以重復(fù)使用,所以非常適合電子電路的組裝、調(diào)試和訓(xùn)練。
  • 特性阻抗
    特性阻抗
    +關(guān)注
    特性阻抗又稱特征阻抗,它不是直流電阻,屬于長線傳輸中的概念。特性阻抗是射頻傳輸線影響無線電波電壓、電流的幅值和相位變化的固有特性,等于各處的電壓與電流的比值,用V/I表示。在射頻電路中,電阻、電容、電感都會阻礙交變電流的流動,合稱阻抗。電阻是吸收電磁能量的,理想電容和電感不消耗電磁能量。
  • AXI
    AXI
    +關(guān)注
    AXI是一種總線協(xié)議,該協(xié)議是ARM公司提出的AMBA3.0協(xié)議中最重要的部分,是一種面向高性能、高帶寬、低延遲的片內(nèi)總線。它的地址/控制和數(shù)據(jù)相位是分離的,支持不對齊的數(shù)據(jù)傳輸,同時在突發(fā)傳輸中,只需要首地址,同時分離的讀寫數(shù)據(jù)通道、并支持Outstanding傳輸訪問和亂序訪問,并更加容易進行時序收斂。AXI是AMBA中一個新的高性能協(xié)議。
  • 驅(qū)動電流
    驅(qū)動電流
    +關(guān)注
  • FPGA教程
    FPGA教程
    +關(guān)注
  • 時鐘源
    時鐘源
    +關(guān)注
    時鐘源用來為環(huán)形脈沖發(fā)生器提供頻率穩(wěn)定且電平匹配的方波時鐘脈沖信號。它通常由石英 晶體振蕩器和與非門組成的正反饋振蕩電路組成,其輸出送至環(huán)形脈沖發(fā)生器。
  • Kintex-7
    Kintex-7
    +關(guān)注
      Kintex-7系列:Kintex-7 系列是一種新型 FPGA,能以不到 Virtex-6 系列一半的價格實現(xiàn)與其相當(dāng)性能,性價比提高了一倍,功耗降低了一半。
  • AD采樣
    AD采樣
    +關(guān)注
      AD轉(zhuǎn)換采樣頻率指完成一次從模擬轉(zhuǎn)換到數(shù)字的AD轉(zhuǎn)換所需時間的倒數(shù),模擬量可以是電壓、電流等電信號,也可以是壓力、溫度、濕度、位移、聲音等非電信號;而AD分辨率指數(shù)字量變化一個最小量時模擬信號的變化量。
  • 紅外觸摸屏
    紅外觸摸屏
    +關(guān)注
  • Protel DXP
    Protel DXP
    +關(guān)注

關(guān)注此標(biāo)簽的用戶(5人)

jf_72770048 jf_67841551 路&人 f00357136 一熙綠

編輯推薦廠商產(chǎn)品技術(shù)軟件/工具OS/語言教程專題