0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

標(biāo)簽 > System

System

+關(guān)注 0人關(guān)注

system是一個(gè)C語(yǔ)言和C++下的函數(shù)。windows操作系統(tǒng)下system () 函數(shù)詳解主要是在C語(yǔ)言中的應(yīng)用,system函數(shù)需加頭文件《stdlib.h》后方可調(diào)用。

文章: 116 個(gè)
視頻: 45 個(gè)
瀏覽: 36743
帖子: 103 個(gè)

System簡(jiǎn)介

  system是一個(gè)C語(yǔ)言和C++下的函數(shù)。windows操作系統(tǒng)下system () 函數(shù)詳解主要是在C語(yǔ)言中的應(yīng)用,system函數(shù)需加頭文件《stdlib.h》后方可調(diào)用。

System百科

  system是一個(gè)C語(yǔ)言和C++下的函數(shù)。windows操作系統(tǒng)下system () 函數(shù)詳解主要是在C語(yǔ)言中的應(yīng)用,system函數(shù)需加頭文件《stdlib.h》后方可調(diào)用。

  Windows函數(shù)

  函數(shù)名: system功 能: 發(fā)出一個(gè)DOS命令用 法: int system(char *command);程序例:

  8#include 《stdlib.h》

  #include 《stdio.h》

  int main(void)

  {

  printf(“About to spawn and run a DOS command\n”);

  system(“dir”);

  return 0;

  }

  又如:system(“pause”)可以實(shí)現(xiàn)凍結(jié)屏幕,便于觀察程序的執(zhí)行結(jié)果;system(“CLS”)可以實(shí)現(xiàn)清屏操作。而調(diào)用color函數(shù)可以改變控制臺(tái)的前景色和背景,具體參數(shù)在下面說(shuō)明。例如,用 system(“color 0A”); 其中color后面的0是背景色代號(hào),A是前景色代號(hào)。各顏色代碼如下:0=黑色 1=藍(lán)色 2=綠色 3=湖藍(lán)色 4=紅色 5=紫色 6=黃色 7=白色 8=灰色 9=淡藍(lán)色 A=淡綠色 B=淡淺綠色 C=淡紅色 D=淡紫色 E=淡黃色 F=亮白色(注意:Microsoft Visual C++6.0 支持system)顏色屬性由兩個(gè)十六進(jìn)制數(shù)字指定 -- 第一個(gè)對(duì)應(yīng)于背景,第二個(gè)對(duì)應(yīng)于前景。每個(gè)數(shù)字可以為以下任何值:0 = 黑色 8 = 灰色1 = 藍(lán)色 9 = 淡藍(lán)色

  2 = 綠色 A = 淡綠色

  3 = 淺綠色 B = 淡淺綠色

  4 = 紅色 C = 淡紅色

  5 = 紫色 D = 淡紫色

  6 = 黃色 E = 淡黃色

  7 = 白色 F = 亮白色舉例看了下面實(shí)例,相信你會(huì)對(duì)學(xué)到更多system在C程序設(shè)計(jì)中的應(yīng)用。例一:C語(yǔ)言調(diào)用DOS命令實(shí)現(xiàn)定時(shí)關(guān)機(jī):

  39#include《stdio.h》

  #include《string.h》

  #include《stdlib.h》

  int print()

  {

  printf(“ ╪╪╪╪╪╪╧╧╧╧╧╧╧╧╪╪╪╪╪╪\n”);

  printf(“╔═══╧╧C語(yǔ)言關(guān)機(jī)程序 ╧╧═══╗\n”);

  printf(“║※1.實(shí)現(xiàn)10分鐘內(nèi)的定時(shí)關(guān)閉計(jì)算機(jī) ║\n”);

  printf(“║※2.立即關(guān)閉計(jì)算機(jī)  ║\n”);

  printf(“║※3.注銷計(jì)算機(jī)  ║\n”);

  printf(“║※0.退出系統(tǒng)  ║\n”);

  printf(“╚═══════════════════╝\n”);

  return 0;

  }

  void main()

  {

  system(“title C語(yǔ)言關(guān)機(jī)程序”);//設(shè)置cmd窗口標(biāo)題

  system(“mode con cols=48 lines=25”);//窗口寬度高度

  system(“color 0B”);

  system(“date /T”);

  system(“TIME /T”);

  char cmd[20]=“shutdown -s -t ”;

  char t[5]=“0”;

  print();

  int c;

  scanf(“%d”,&c);

  getchar();

  switch(c)

  {

  case 1:printf(“您想在多少秒后自動(dòng)關(guān)閉計(jì)算機(jī)?(0~600)\n”);scanf(“%s”,t);

  system(strcat(cmd,t));break;

  case 2:system(“shutdown -p”);break;

  case 3:system(“shutdown -l”);break;

  case 0:break;

  default:printf(“Error!\n”);

  }

  system(“pause”);

  exit(0);

  }

  例二:用C語(yǔ)言刪除文件,例如文件的位置是d:\123.txt用system()函數(shù)執(zhí)行windows命令。

  7#include 《stdlib.h》

  #include 《stdio.h》

  int main(void)

  {

  system(“del d:\\123.txt”);

  return 0;

  }

查看詳情

system知識(shí)

展開查看更多

system技術(shù)

SystemVerilog相比于Verilog的優(yōu)勢(shì)

SystemVerilog相比于Verilog的優(yōu)勢(shì)

我們?cè)購(gòu)膶?duì)可綜合代碼的支持角度看看SystemVerilog相比于Verilog的優(yōu)勢(shì)。針對(duì)硬件設(shè)計(jì),SystemVerilog引入了三種進(jìn)程alway...

2023-10-26 標(biāo)簽:fpgaVerilogSystem 704 0

systemverilog:logic比reg更有優(yōu)勢(shì)

systemverilog:logic比reg更有優(yōu)勢(shì)

在systemverilog協(xié)議中,logic定義四態(tài)值,即向量(vector)的每個(gè)位(bit)可以是邏輯0, 1, Z或X,與verilog協(xié)議中的...

2023-10-26 標(biāo)簽:芯片設(shè)計(jì)VerilogSystem 806 0

常用的時(shí)序反標(biāo)方法和EDA工具反標(biāo)方法

常用的時(shí)序反標(biāo)方法和EDA工具反標(biāo)方法

前段時(shí)間,一個(gè)朋友聊敘到這個(gè)后仿真任務(wù)命令都咋用,隨即整理了下,可以完成基本的后仿真不成問題,但是如果還要完成一些其他的幺蛾子,那就需要各位仔細(xì)研讀各工...

2023-10-25 標(biāo)簽:仿真VerilogSystem 3900 0

SystemVerilog在硬件設(shè)計(jì)部分有哪些優(yōu)勢(shì)

SystemVerilog在硬件設(shè)計(jì)部分有哪些優(yōu)勢(shì)

談到SystemVerilog,很多工程師都認(rèn)為SystemVerilog僅僅是一門驗(yàn)證語(yǔ)言,事實(shí)上不只如此。傳統(tǒng)的Verilog和VHDL被稱為HDL...

2023-10-19 標(biāo)簽:VerilogSystemHDL 941 0

西門子博途創(chuàng)建SCL 函數(shù)塊的步驟

西門子博途創(chuàng)建SCL 函數(shù)塊的步驟

在“Output” 部分定義帶有以下屬性的輸出參數(shù): – 名稱:"Best_before_date_Output" – ...

2023-10-11 標(biāo)簽:西門子System函數(shù) 1493 0

SystemVerilog的隨機(jī)約束方法

上一篇文章《暗藏玄機(jī)的SV隨機(jī)化》介紹了SystemVerilog的各種隨機(jī)化方法,本文將在其基礎(chǔ)上引入SystemVerilog的隨機(jī)約束方法(con...

2023-09-24 標(biāo)簽:仿真器VerilogSystem 1206 0

verilog-2005和systemverilog-2017標(biāo)準(zhǔn)規(guī)范

verilog-2005和systemverilog-2017標(biāo)準(zhǔn)規(guī)范

作為邏輯工程師,在FPGA和數(shù)字IC開發(fā)和設(shè)計(jì)中,一般采用verilog,VHDL或SystemVerilog等作為硬件描述語(yǔ)言進(jìn)行工程設(shè)計(jì),將一張白板...

2023-09-04 標(biāo)簽:fpgaVerilogSystem 2304 0

添加自定義屬性控制fridaserver啟動(dòng)和停止

添加自定義屬性控制fridaserver啟動(dòng)和停止

添加自定義屬性控制fridaserver啟動(dòng)和停止

2023-08-09 標(biāo)簽:System文件端口 1384 0

新唐開發(fā)平臺(tái):進(jìn)階使用者的七大訣竅(3)使用System Viewer檢查寄存器狀態(tài)

新唐開發(fā)平臺(tái):進(jìn)階使用者的七大訣竅(3)使用System Viewer檢查寄存器狀態(tài)

新唐開發(fā)平臺(tái):進(jìn)階使用者的七大訣竅(3)使用System Viewer檢查寄存器狀態(tài)

2023-08-09 標(biāo)簽:寄存器System編譯 712 0

一文詳解UVM設(shè)計(jì)模式

一文詳解UVM設(shè)計(jì)模式

本篇是對(duì)UVM設(shè)計(jì)模式 ( 二 ) 參數(shù)化類、靜態(tài)變量/方法/類、單例模式、UVM_ROOT、工廠模式、UVM_FACTORY[1]中單例模式的補(bǔ)充,分...

2023-08-06 標(biāo)簽:VerilogSystem函數(shù) 1351 0

查看更多>>

system資訊

Allegro X 23.11 版本更新 I PCB 設(shè)計(jì):一鍵移除評(píng)審內(nèi)容 & 導(dǎo)入ODB++

Allegro X 23.11 版本更新 I PCB 設(shè)計(jì):一鍵移除評(píng)審內(nèi)容 & 導(dǎo)入ODB++

基于AllegroX23.11版本更新,我們將通過實(shí)例講解讓您深入了解AllegroXSystemCapture、AllegroXPCBDesigner...

2024-08-30 標(biāo)簽:PCB設(shè)計(jì)Systemallegro 160 0

System 76推出14/16英寸雙核16線程筆記本,售價(jià)1199美元起

System 76于近期發(fā)布了Dart Pro 2024版筆記本,尺寸為14或16英寸,配備英特爾酷睿Ultra 5-125H和Ultra 7-155H...

2024-05-11 標(biāo)簽:筆記本System線程 363 0

System76更新Pop!_OS Linux至6.8內(nèi)核,新特性顯著

 據(jù)報(bào)道,美國(guó)知名 Linux PC生產(chǎn)商 System76已將其旗下的Pop!_OS Linux發(fā)行版升級(jí)至Linux 6.8內(nèi)核。此次升級(jí)優(yōu)化頗多,...

2024-03-28 標(biāo)簽:英特爾LinuxSystem 363 0

ALVA Systems 攜手合作伙伴加快構(gòu)建產(chǎn)業(yè)創(chuàng)新體系

10 月,羅克韋爾自動(dòng)化數(shù)智化賦能研討會(huì)在成都舉辦。 ALVA Systems 攜手合作伙伴成都?xì)W嘉美(E&A)出席本次活動(dòng),進(jìn)行議題分享和創(chuàng)新 AR ...

2023-11-01 標(biāo)簽:人機(jī)交互SystemAR技術(shù) 992 0

System Initiative宣布將其所有軟件全部開源

System Initiative宣布將其所有軟件全部開源

總部位于美國(guó)舊金山的 System Initiative 成立于 2019 年,公司首席執(zhí)行官 Adam Jacob 曾是 Chef Software ...

2023-08-22 標(biāo)簽:軟件System開源 518 0

ASIC和FPGA的RTL編碼樣式

ASIC和FPGA的RTL編碼樣式

SystemVerilog既是一種硬件設(shè)計(jì)語(yǔ)言,也是一種硬件驗(yàn)證語(yǔ)言。IEEE SystemVerilog官方標(biāo)準(zhǔn)沒有區(qū)分這兩個(gè)目標(biāo),也沒有指定完整Sy...

2023-03-31 標(biāo)簽:IEEEasic硬件 1428 0

SystemVerilog常用可綜合IP模塊庫(kù)

了解決這個(gè)復(fù)雜的問題,設(shè)計(jì)了這個(gè)庫(kù),它可以幫助您簡(jiǎn)化設(shè)計(jì)流程。使用一些簡(jiǎn)單的 API 可以輕松地在測(cè)試臺(tái)中讀取和寫入標(biāo)準(zhǔn)位圖文件 (.BMP)。并且,使...

2022-05-05 標(biāo)簽:System編輯器模塊庫(kù) 1569 0

System Verilog-文本值

文本整數(shù)值是一個(gè)整數(shù),沒有小數(shù)點(diǎn)。(IEEE 1800 SystemVerilog標(biāo)準(zhǔn)使用術(shù)語(yǔ)“整數(shù)文本integer literal”而不是“文本整數(shù)...

2022-04-13 標(biāo)簽:VerilogSystem整數(shù) 1164 0

如何利用SystemVerilog仿真生成隨機(jī)數(shù)

如何利用SystemVerilog仿真生成隨機(jī)數(shù)

采用SystemVerilog進(jìn)行仿真則更容易生成隨機(jī)數(shù),而且對(duì)隨機(jī)數(shù)具有更強(qiáng)的可控性。對(duì)于隨機(jī)變量,在SystemVerilog中可通過rand或ra...

2021-10-30 標(biāo)簽:仿真VerilogSystem 1.0萬(wàn) 0

System Verilog與verilog的概念有何不同

SystemVerilog是一種 硬件描述和驗(yàn)證語(yǔ)言 (HDVL),它 基于IEEE1364-2001 Verilog硬件描述語(yǔ)言(HDL),并對(duì)其進(jìn)行...

2021-10-19 標(biāo)簽:cpuRAMpci總線 4253 0

查看更多>>

system數(shù)據(jù)手冊(cè)

相關(guān)標(biāo)簽

相關(guān)話題

換一批
  • IOT
    IOT
    +關(guān)注
    IoT是Internet of Things的縮寫,字面翻譯是“物體組成的因特網(wǎng)”,準(zhǔn)確的翻譯應(yīng)該為“物聯(lián)網(wǎng)”。物聯(lián)網(wǎng)(Internet of Things)又稱傳感網(wǎng),簡(jiǎn)要講就是互聯(lián)網(wǎng)從人向物的延伸。
  • 海思
    海思
    +關(guān)注
  • STM32F103C8T6
    STM32F103C8T6
    +關(guān)注
    STM32F103C8T6是一款集成電路,芯體尺寸為32位,程序存儲(chǔ)器容量是64KB,需要電壓2V~3.6V,工作溫度為-40°C ~ 85°C。
  • 數(shù)字隔離
    數(shù)字隔離
    +關(guān)注
    數(shù)字隔離技術(shù)常用于工業(yè)網(wǎng)絡(luò)環(huán)境的現(xiàn)場(chǎng)總線、軍用電子系統(tǒng)和航空航天電子設(shè)備中,尤其是一些應(yīng)用環(huán)境比較惡劣的場(chǎng)合。數(shù)字隔離電路主要用于數(shù)字信號(hào)和開關(guān)量信號(hào)的傳輸。另一個(gè)重要原因是保護(hù)器件(或人)免受高電壓的危害。本文詳細(xì)介紹了數(shù)字隔離器工作原理及特點(diǎn),選型及應(yīng)用,各類數(shù)字隔離器件性能比較等內(nèi)容。
  • 硬件工程師
    硬件工程師
    +關(guān)注
    硬件工程師Hardware Engineer職位 要求熟悉計(jì)算機(jī)市場(chǎng)行情;制定計(jì)算機(jī)組裝計(jì)劃;能夠選購(gòu)組裝需要的硬件設(shè)備,并能合理配置、安裝計(jì)算機(jī)和外圍設(shè)備;安裝和配置計(jì)算機(jī)軟件系統(tǒng);保養(yǎng)硬件和外圍設(shè)備;清晰描述出現(xiàn)的計(jì)算機(jī)軟硬件故障。
  • wifi模塊
    wifi模塊
    +關(guān)注
    Wi-Fi模塊又名串口Wi-Fi模塊,屬于物聯(lián)網(wǎng)傳輸層,功能是將串口或TTL電平轉(zhuǎn)為符合Wi-Fi無(wú)線網(wǎng)絡(luò)通信標(biāo)準(zhǔn)的嵌入式模塊,內(nèi)置無(wú)線網(wǎng)絡(luò)協(xié)議IEEE802.11b.g.n協(xié)議棧以及TCP/IP協(xié)議棧。傳統(tǒng)的硬件設(shè)備嵌入Wi-Fi模塊可以直接利用Wi-Fi聯(lián)入互聯(lián)網(wǎng),是實(shí)現(xiàn)無(wú)線智能家居、M2M等物聯(lián)網(wǎng)應(yīng)用的重要組成部分。
  • 74ls74
    74ls74
    +關(guān)注
    74LS74是雙D觸發(fā)器。功能多,可作雙穩(wěn)態(tài)、寄存器、移位寄存器、振蕩器、單穩(wěn)態(tài)、分頻計(jì)數(shù)器等功能。本章詳細(xì)介紹了74ls112的功能及原理,74ls74引腳圖及功能表,74ls112的應(yīng)用等內(nèi)容。
  • MPU6050
    MPU6050
    +關(guān)注
    MPU-6000(6050)為全球首例整合性6軸運(yùn)動(dòng)處理組件,相較于多組件方案,免除了組合陀螺儀與加速器時(shí)間軸之差的問題,減少了大量的封裝空間。
  • UHD
    UHD
    +關(guān)注
    UHD是”超高清“的意思UHD的應(yīng)用在電視機(jī)技術(shù)上最為普遍,目前已有不少?gòu)S商推出了UHD超高清電視。
  • Protues
    Protues
    +關(guān)注
    Proteus軟件是英國(guó)Lab Center Electronics公司出版的EDA工具軟件(該軟件中國(guó)總代理為廣州風(fēng)標(biāo)電子技術(shù)有限公司)。它不僅具有其它EDA工具軟件的仿真功能,還能仿真單片機(jī)及外圍器件。
  • STC12C5A60S2
    STC12C5A60S2
    +關(guān)注
    在眾多的51系列單片機(jī)中,要算國(guó)內(nèi)STC 公司的1T增強(qiáng)系列更具有競(jìng)爭(zhēng)力,因他不但和8051指令、管腳完全兼容,而且其片內(nèi)的具有大容量程序存儲(chǔ)器且是FLASH工藝的,如STC12C5A60S2單片機(jī)內(nèi)部就自帶高達(dá)60K FLASHROM,這種工藝的存儲(chǔ)器用戶可以用電的方式瞬間擦除、改寫。
  • 循跡小車
    循跡小車
    +關(guān)注
    做單片機(jī)的工程師相比都堆循跡小車有所認(rèn)識(shí),它是自動(dòng)引導(dǎo)機(jī)器人系統(tǒng)的基本應(yīng)用,那么今天小編就給大家介紹下自動(dòng)自動(dòng)循跡小車的原理,智能循跡小車的應(yīng)用,智能循跡小車程序,循跡小車用途等知識(shí)吧!
  • K60
    K60
    +關(guān)注
  • 光立方
    光立方
    +關(guān)注
    光立方是由四千多棵光藝高科技“發(fā)光樹”組成的,在2009年10月1日天安門廣場(chǎng)舉行的國(guó)慶聯(lián)歡晚會(huì)上面世。這是新中國(guó)成立六十周年國(guó)慶晚會(huì)最具創(chuàng)意的三大法寶之首。
  • LM2596
    LM2596
    +關(guān)注
    LM2596是降壓型電源管理單片集成電路的開關(guān)電壓調(diào)節(jié)器,能夠輸出3A的驅(qū)動(dòng)電流,同時(shí)具有很好的線性和負(fù)載調(diào)節(jié)特性。固定輸出版本有3.3V、5V、12V,可調(diào)版本可以輸出小于37V的各種電壓。
  • 光模塊
    光模塊
    +關(guān)注
    光模塊(optical module)由光電子器件、功能電路和光接口等組成,光電子器件包括發(fā)射和接收兩部分。簡(jiǎn)單的說(shuō),光模塊的作用就是光電轉(zhuǎn)換,發(fā)送端把電信號(hào)轉(zhuǎn)換成光信號(hào),通過光纖傳送后,接收端再把光信號(hào)轉(zhuǎn)換成電信號(hào)。
  • STM32單片機(jī)
    STM32單片機(jī)
    +關(guān)注
    STM32系列基于專為要求高性能、低成本、低功耗的嵌入式應(yīng)用專門設(shè)計(jì)的ARM Cortex-M3內(nèi)核
  • 步進(jìn)驅(qū)動(dòng)器
    步進(jìn)驅(qū)動(dòng)器
    +關(guān)注
    步進(jìn)驅(qū)動(dòng)器是一種將電脈沖轉(zhuǎn)化為角位移的執(zhí)行機(jī)構(gòu)。當(dāng)步進(jìn)驅(qū)動(dòng)器接收到一個(gè)脈沖信號(hào),它就驅(qū)動(dòng)步進(jìn)電機(jī)按設(shè)定的方向轉(zhuǎn)動(dòng)一個(gè)固定的角度(稱為“步距角”),它的旋轉(zhuǎn)是以固定的角度一步一步運(yùn)行的??梢酝ㄟ^控制脈沖個(gè)數(shù)來(lái)控制角位移量,從而達(dá)到準(zhǔn)確定位的目的;同時(shí)可以通過控制脈沖頻率來(lái)控制電機(jī)轉(zhuǎn)動(dòng)的速度和加速度,從而達(dá)到調(diào)速和定位的目的。
  • Nexperia
    Nexperia
    +關(guān)注
    Nexperia是大批量生產(chǎn)基本半導(dǎo)體的領(lǐng)先專家,這些半導(dǎo)體是世界上每個(gè)電子設(shè)計(jì)都需要的組件。該公司廣泛的產(chǎn)品組合包括二極管、雙極晶體管、ESD 保護(hù)器件、MOSFET、GaN FET 以及模擬和邏輯IC。
  • CD4046
    CD4046
    +關(guān)注
    cD4046是通用的CMOS鎖相環(huán)集成電路,其特點(diǎn)是電源電壓范圍寬(為3V-18V),輸入阻抗高(約100MΩ),動(dòng)態(tài)功耗小,在中心頻率f0為10kHz下功耗僅為600μW,屬微功耗器件。本章主要介紹內(nèi)容有,CD4046的功能 cd4046鎖相環(huán)電路,CD4046無(wú)線發(fā)射,cd4046運(yùn)用,cd4046鎖相環(huán)電路圖。
  • COMSOL
    COMSOL
    +關(guān)注
    COMSOL集團(tuán)是全球多物理場(chǎng)建模解決方案的提倡者與領(lǐng)導(dǎo)者。憑借創(chuàng)新的團(tuán)隊(duì)、協(xié)作的文化、前沿的技術(shù)、出色的產(chǎn)品,這家高科技工程軟件公司正飛速發(fā)展,并有望成為行業(yè)領(lǐng)袖。其旗艦產(chǎn)品COMSOL Multiphysics 使工程師和科學(xué)家們可以通過模擬,賦予設(shè)計(jì)理念以生命。
  • 加速度傳感器
    加速度傳感器
    +關(guān)注
    加速度傳感器是一種能夠測(cè)量加速度的傳感器。通常由質(zhì)量塊、阻尼器、彈性元件、敏感元件和適調(diào)電路等部分組成。
  • 聯(lián)網(wǎng)技術(shù)
    聯(lián)網(wǎng)技術(shù)
    +關(guān)注
  • 服務(wù)機(jī)器人
    服務(wù)機(jī)器人
    +關(guān)注
    服務(wù)機(jī)器人是機(jī)器人家族中的一個(gè)年輕成員,到目前為止尚沒有一個(gè)嚴(yán)格的定義。不同國(guó)家對(duì)服務(wù)機(jī)器人的認(rèn)識(shí)不同。
  • 四軸飛行器
    四軸飛行器
    +關(guān)注
    四軸飛行器,又稱四旋翼飛行器、四旋翼直升機(jī),簡(jiǎn)稱四軸、四旋翼。這四軸飛行器(Quadrotor)是一種多旋翼飛行器。四軸飛行器的四個(gè)螺旋槳都是電機(jī)直連的簡(jiǎn)單機(jī)構(gòu),十字形的布局允許飛行器通過改變電機(jī)轉(zhuǎn)速獲得旋轉(zhuǎn)機(jī)身的力,從而調(diào)整自身姿態(tài)。具體的技術(shù)細(xì)節(jié)在“基本運(yùn)動(dòng)原理”中講述。
  • 基站測(cè)試
    基站測(cè)試
    +關(guān)注
    802.11ac與11基站測(cè)試(base station tests) 在基站設(shè)備安裝完畢后,對(duì)基站設(shè)備電氣性能所進(jìn)行的測(cè)量。n的區(qū)別,802.11n無(wú)線網(wǎng)卡驅(qū)動(dòng),802.11n怎么安裝。
  • TMS320F28335
    TMS320F28335
    +關(guān)注
    TMS320F28335是一款TI高性能TMS320C28x系列32位浮點(diǎn)DSP處理器
  • 靜電防護(hù)
    靜電防護(hù)
    +關(guān)注
    為防止靜電積累所引起的人身電擊、火災(zāi)和爆炸、電子器件失效和損壞,以及對(duì)生產(chǎn)的不良影響而采取的防范措施。其防范原則主要是抑制靜電的產(chǎn)生,加速靜電泄漏,進(jìn)行靜電中和等。
  • OBD
    OBD
    +關(guān)注
    OBD是英文On-Board Diagnostic的縮寫,中文翻譯為“車載診斷系統(tǒng)”。這個(gè)系統(tǒng)隨時(shí)監(jiān)控發(fā)動(dòng)機(jī)的運(yùn)行狀況和尾氣后處理系統(tǒng)的工作狀態(tài),一旦發(fā)現(xiàn)有可能引起排放超標(biāo)的情況,會(huì)馬上發(fā)出警示。
  • SDK
    SDK
    +關(guān)注
      SDK一般指軟件開發(fā)工具包,軟件開發(fā)工具包一般都是一些軟件工程師為特定的軟件包、軟件框架、硬件平臺(tái)、操作系統(tǒng)等建立應(yīng)用軟件時(shí)的開發(fā)工具的集合。軟件開發(fā)工具廣義上指輔助開發(fā)某一類軟件的相關(guān)文檔、范例和工具的集合。
換一批

關(guān)注此標(biāo)簽的用戶(0人)

編輯推薦廠商產(chǎn)品技術(shù)軟件/工具OS/語(yǔ)言教程專題