0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

標(biāo)簽 > axi

axi

+關(guān)注1人關(guān)注

AXI是一種總線協(xié)議,該協(xié)議是ARM公司提出的AMBA3.0協(xié)議中最重要的部分,是一種面向高性能、高帶寬、低延遲的片內(nèi)總線。它的地址/控制和數(shù)據(jù)相位是分離的,支持不對齊的數(shù)據(jù)傳輸,同時在突發(fā)傳輸中,只需要首地址,同時分離的讀寫數(shù)據(jù)通道、并支持Outstanding傳輸訪問和亂序訪問,并更加容易進行時序收斂。AXI是AMBA中一個新的高性能協(xié)議。

文章:108 瀏覽:16514 帖子:72

axi資訊

AXI 總線交互分為 Master / Slave 兩端

在 AMBA 系列之 AXI 總線協(xié)議初探 中,了解到 AXI 總線交互分為 Master / Slave 兩端,而且標(biāo)準(zhǔn)的 AXI 總線支持不同的位寬...

2022-02-08 標(biāo)簽:AXI 1.5萬 0

怎么樣利用AXI Quad SPI快速打通Linux至PL端SPI從設(shè)備?

怎么樣利用AXI Quad SPI快速打通Linux至PL端SPI從設(shè)備?

[導(dǎo)讀] 前面寫過篇介紹ZYNQ基本情況的文章,今天來肝一篇實戰(zhàn)文章介紹AXI quad SPI 使用方法,如果你正使用ZYNQ的這個IP,希望對你有所...

2021-04-09 標(biāo)簽:SPICSAXI 7502 0

一文搞懂AXI總線、接口和協(xié)議的區(qū)別

一文搞懂AXI總線、接口和協(xié)議的區(qū)別

總線、接口和協(xié)議,這三個詞常常被聯(lián)系在一起,但是我們心里要明白他們的區(qū)別。 總線是一組傳輸通道,是各種邏輯器件構(gòu)成的傳輸數(shù)據(jù)的通道,一般由由數(shù)據(jù)線、地址...

2020-09-27 標(biāo)簽:AXI總線AXI 5840 0

對AXI總線知識詳解解析

對AXI總線知識詳解解析

AXI是個什么東西呢,它其實不屬于Zynq,不屬于Xilinx,而是屬于ARM。它是ARM最新的總線接口,以前叫做AMBA,從3.0以后就稱為AXI了。

2021-04-09 標(biāo)簽:總線接口AXI 5619 0

總線、接口和協(xié)議之間的關(guān)系是什么?

總線、接口和協(xié)議之間的關(guān)系是什么?

總線、接口和協(xié)議,這三個詞常常被聯(lián)系在一起,但是我們心里要明白他們的區(qū)別。 總線是一組傳輸通道,是各種邏輯器件構(gòu)成的傳輸數(shù)據(jù)的通道,一般由由數(shù)據(jù)線、地址...

2021-05-11 標(biāo)簽:總線AXI 5525 0

如何導(dǎo)出IP以供在Vivado Design Suite中使用?

如何導(dǎo)出IP以供在Vivado Design Suite中使用?

在 AXI 基礎(chǔ)第 6 講 - Vitis HLS 中的 AXI4-Lite 簡介中,使用 C 語言在 HLS 中創(chuàng)建包含 AXI4-Lite 接口的 ...

2021-04-26 標(biāo)簽:C語言AXIHLS 3859 0

探討有關(guān)AXIIIC和PSIIC的自調(diào)試技巧

探討有關(guān)AXIIIC和PSIIC的自調(diào)試技巧

“IIC 協(xié)議與編程序列” 一文,我們?yōu)閮?nèi)部集成電路 (IIC) 協(xié)議的初學(xué)者們提供了有關(guān)該協(xié)議基礎(chǔ)知識和編程序列的詳細解釋。

2021-04-09 標(biāo)簽:IICAXI 3630 0

AXI-Stream代碼

AXI-Stream代碼

AXI-Stream代碼詳解 AXI4-Stream跟AXI4的區(qū)別在于AXI4-Stream沒有ADDR接口,這樣就不涉及讀寫數(shù)據(jù)的概念了,只有簡單的...

2020-11-05 標(biāo)簽:代碼StreamAXI 3364 0

一款針對Soc開發(fā)的硬件仿真進行優(yōu)化的軟件VARON

一款針對Soc開發(fā)的硬件仿真進行優(yōu)化的軟件VARON

VARON是一款針對Soc開發(fā)的硬件仿真進行優(yōu)化的軟件,運行于Cent OS Linux系統(tǒng),需要有一定的VCS使用基礎(chǔ),適用于集成度偏高的Soc開發(fā)。...

2020-09-28 標(biāo)簽:分析儀socLinux 3091 0

介紹AMBA2.0總線

介紹AMBA2.0總線

1.1.AMBA發(fā)展史 AMAB1.0 AMBA2.0 AMBA3.0 AMBA4.0 AMBA1.0:ASB協(xié)議和APB協(xié)議;AMBA2.0:AHB協(xié)...

2021-09-06 標(biāo)簽:微控制器AMBAAXI 2995 0

淺談ZYNQ-AXI總線的信號接口要求以及時序關(guān)系

淺談ZYNQ-AXI總線的信號接口要求以及時序關(guān)系

學(xué)習(xí)內(nèi)容 學(xué)習(xí)關(guān)于AXI總線的信號接口的具體要求(包括不同通道之間的關(guān)系,握手機制說明等)和AXI4-Lite的相關(guān)信息,在文章后半部分對AXI讀寫時序...

2021-06-01 標(biāo)簽:arm數(shù)據(jù)傳輸AXI 2437 0

探究DSP-PYNQ新增對ZCU111和Ultra96的支持!

在PYNQ RFSoCWorkshop之后,Xilinx再次推出DSP-PYNQ,與之前只發(fā)布了基于RFSoC2x2開發(fā)套件的overlay和noteb...

2021-06-01 標(biāo)簽:fpgadmapython 2368 0

SoC常見問題 - axi deadlock

SoC常見問題 - axi deadlock

現(xiàn)在假設(shè)M1發(fā)給S1的請求ID可以是1,2,3,M1發(fā)給S2的ID可以是3,4,5?,F(xiàn)在M1分別發(fā)起了兩組outstanding傳輸給S1和S2,RID...

2023-12-07 標(biāo)簽:socMASTERAXI 2231 0

AXI4-Lite總線信號

AXI4-Lite總線信號

在《AXI-Lite 自定義IP》章節(jié)基礎(chǔ)上,添加ilavio等調(diào)試ip,完成后的BD如下圖: 圖4?53 添加測試信號 加載到SDK,并且在Vivad...

2020-10-30 標(biāo)簽:總線AXI 2223 0

淺析可視化的片上網(wǎng)絡(luò)(NoC)性能

淺析可視化的片上網(wǎng)絡(luò)(NoC)性能

1. 概述 Achronix 最新基于臺積電(TSMC)的7nm FinFET工藝的Speedster7t FPGA器件包含了革命性的新型二維片上網(wǎng)絡(luò)(...

2021-11-12 標(biāo)簽:fpga臺積電AXI 2025 0

更新的AXI performance monitors(APM)測試工具

在博客文章 使用 AXI performance monitors (APM)測試MPSoC DDR訪問帶寬 中介紹了DDR帶寬測試工具"ap...

2022-08-02 標(biāo)簽:APMMPSoCAXI 768 0

PCIe控制器(FPGA或ASIC),PCIe-AXI-Controller

PCIe控制器(FPGA或ASIC),PCIe-AXI-Controller

PCIe-AXI-Controller兼容PCI Express Base Specification Revision 3.1,實現(xiàn)PCIe PHY ...

2024-02-21 標(biāo)簽:PCIedmaAXI 654 0

思爾芯亮相Arm Tech Symposia技術(shù)大會

思爾芯亮相Arm Tech Symposia技術(shù)大會

近日,備受關(guān)注的 Arm Tech Symposia 技術(shù)大會在上海圓滿閉幕。在這次盛會中,作為國內(nèi)首家數(shù)字 EDA 供應(yīng)商的思爾芯成為焦點,其在臺北、...

2023-12-08 標(biāo)簽:arm接口eda 564 0

TRI推出高性能3D AXI

來源:Silicon Semiconductor Test Research, Inc. (TRI) 推出了TR7600 SV系列。 3D AXI突破性...

2023-10-12 標(biāo)簽:3DAIAXI 533 0

電子組件的自動AXI射線檢測

電子組件的自動AXI射線檢測

檢查PCBA缺陷的AOI、3D AOI系統(tǒng)有一個局限性:即使是AOI也無法目視檢查人眼隱藏的東西。帶有平面端子的組件(如BGA、CSP、倒裝芯片或QFN...

2024-07-19 標(biāo)簽:電子組件射線檢測AXI 171 0

相關(guān)標(biāo)簽

相關(guān)話題

換一批
  • Protues
    Protues
    +關(guān)注
    Proteus軟件是英國Lab Center Electronics公司出版的EDA工具軟件(該軟件中國總代理為廣州風(fēng)標(biāo)電子技術(shù)有限公司)。它不僅具有其它EDA工具軟件的仿真功能,還能仿真單片機及外圍器件。
  • 靜電防護
    靜電防護
    +關(guān)注
    為防止靜電積累所引起的人身電擊、火災(zāi)和爆炸、電子器件失效和損壞,以及對生產(chǎn)的不良影響而采取的防范措施。其防范原則主要是抑制靜電的產(chǎn)生,加速靜電泄漏,進行靜電中和等。
  • Altium Designer
    Altium Designer
    +關(guān)注
  • FPGA芯片
    FPGA芯片
    +關(guān)注
    FPGA(Field-Programmable Gate Array),即現(xiàn)場可編程門陣列,它是在PAL、GAL、CPLD等可編程器件的基礎(chǔ)上進一步發(fā)展的產(chǎn)物。
  • ArduBlock
    ArduBlock
    +關(guān)注
    ArduBlock軟件是Arduino官方編程環(huán)境的第三方軟件,目前必須依附于Arduino軟件下運行,區(qū)別于Arduino文本式編程環(huán)境,ArduBlock是以圖形化積木搭建的方式編程的,這樣的方式會使編程的可視化和交互性加強,編程門檻降低,即使沒有編程經(jīng)驗的人也可以嘗試給Arduino控制器編寫程序。
  • AD10
    AD10
    +關(guān)注
  • 識別
    識別
    +關(guān)注
  • FPGA開發(fā)板
    FPGA開發(fā)板
    +關(guān)注
    FPGA開發(fā)板在基于MCU、定制ASIC和體積龐大的電線束來實現(xiàn)引擎及控制電子的系統(tǒng)方案已發(fā)展至接近其技術(shù)和應(yīng)用極限,汽車工業(yè)正面臨新的設(shè)計挑戰(zhàn)。過去汽車電子產(chǎn)品的開發(fā)周期是漫長的,而許多汽車制造商現(xiàn)正致力于在更短的時間內(nèi),裝備消費者所需的新一代汽車。
  • PCB封裝
    PCB封裝
    +關(guān)注
    pcb封裝就是把 實際的電子元器件,芯片等的各種參數(shù)(比如元器件的大小,長寬,直插,貼片,焊盤的大小,管腳的長寬,管腳的間距等)用圖形方式表現(xiàn)出來,以便可以在畫pcb圖時進行調(diào)用。
  • QUARTUS II
    QUARTUS II
    +關(guān)注
    Quartus II 是Altera公司推出的綜合性CPLD/FPGA開發(fā)軟件,軟件支持原理圖、VHDL、VerilogHDL以及AHDL(Altera Hardware 支持Description Language)等多種設(shè)計輸入形式,內(nèi)嵌自有的綜合器以及仿真器,可以完成從設(shè)計輸入到硬件配置的完整PLD設(shè)計流程。
  • PCB封裝庫
    PCB封裝庫
    +關(guān)注
  • 語音交互
    語音交互
    +關(guān)注
  • AD09
    AD09
    +關(guān)注
  • PDN
    PDN
    +關(guān)注
  • QuickPcb
    QuickPcb
    +關(guān)注
  • Artix-7
    Artix-7
    +關(guān)注
      Artix-7 系列:相對于 Spartan-6 系列而言,Artix-7 系列功耗降低了一半, 成本降低了 35%,采用小型化封裝、統(tǒng)一的 Virtex 系列架構(gòu),能滿足低成本大批量市場的性能要求,這也正是此前 ASSP、ASIC 和低成本 FPGA 所針對的市場領(lǐng)域。
  • VHDL代碼
    VHDL代碼
    +關(guān)注
  • Protel 99 se
    Protel 99 se
    +關(guān)注
  • powerlink
    powerlink
    +關(guān)注
  • candence
    candence
    +關(guān)注
  • 面包板
    面包板
    +關(guān)注
    面包板是由于板子上有很多小插孔,專為電子電路的無焊接實驗設(shè)計制造的。由于各種電子元器件可根據(jù)需要隨意插入或拔出,免去了焊接,節(jié)省了電路的組裝時間,而且元件可以重復(fù)使用,所以非常適合電子電路的組裝、調(diào)試和訓(xùn)練。
  • 特性阻抗
    特性阻抗
    +關(guān)注
    特性阻抗又稱特征阻抗,它不是直流電阻,屬于長線傳輸中的概念。特性阻抗是射頻傳輸線影響無線電波電壓、電流的幅值和相位變化的固有特性,等于各處的電壓與電流的比值,用V/I表示。在射頻電路中,電阻、電容、電感都會阻礙交變電流的流動,合稱阻抗。電阻是吸收電磁能量的,理想電容和電感不消耗電磁能量。
  • 驅(qū)動電流
    驅(qū)動電流
    +關(guān)注
  • FPGA教程
    FPGA教程
    +關(guān)注
  • 時鐘源
    時鐘源
    +關(guān)注
    時鐘源用來為環(huán)形脈沖發(fā)生器提供頻率穩(wěn)定且電平匹配的方波時鐘脈沖信號。它通常由石英 晶體振蕩器和與非門組成的正反饋振蕩電路組成,其輸出送至環(huán)形脈沖發(fā)生器。
  • Kintex-7
    Kintex-7
    +關(guān)注
      Kintex-7系列:Kintex-7 系列是一種新型 FPGA,能以不到 Virtex-6 系列一半的價格實現(xiàn)與其相當(dāng)性能,性價比提高了一倍,功耗降低了一半。
  • AD采樣
    AD采樣
    +關(guān)注
      AD轉(zhuǎn)換采樣頻率指完成一次從模擬轉(zhuǎn)換到數(shù)字的AD轉(zhuǎn)換所需時間的倒數(shù),模擬量可以是電壓、電流等電信號,也可以是壓力、溫度、濕度、位移、聲音等非電信號;而AD分辨率指數(shù)字量變化一個最小量時模擬信號的變化量。
  • 紅外觸摸屏
    紅外觸摸屏
    +關(guān)注
  • Protel DXP
    Protel DXP
    +關(guān)注
  • 布局布線
    布局布線
    +關(guān)注

關(guān)注此標(biāo)簽的用戶(1人)

找中介

編輯推薦廠商產(chǎn)品技術(shù)軟件/工具OS/語言教程專題

電機控制 DSP 氮化鎵 功率放大器 ChatGPT 自動駕駛 TI 瑞薩電子
BLDC PLC 碳化硅 二極管 OpenAI 元宇宙 安森美 ADI
無刷電機 FOC IGBT 逆變器 文心一言 5G 英飛凌 羅姆
直流電機 PID MOSFET 傳感器 人工智能 物聯(lián)網(wǎng) NXP 賽靈思
步進電機 SPWM 充電樁 IPM 機器視覺 無人機 三菱電機 ST
伺服電機 SVPWM 光伏發(fā)電 UPS AR 智能電網(wǎng) 國民技術(shù) Microchip
瑞薩 沁恒股份 全志 國民技術(shù) 瑞芯微 兆易創(chuàng)新 芯??萍?/a> Altium
德州儀器 Vishay Micron Skyworks AMS TAIYOYUDEN 納芯微 HARTING
adi Cypress Littelfuse Avago FTDI Cirrus LogIC Intersil Qualcomm
st Murata Panasonic Altera Bourns 矽力杰 Samtec 揚興科技
microchip TDK Rohm Silicon Labs 圣邦微電子 安費諾工業(yè) ixys Isocom Compo
安森美 DIODES Nidec Intel EPSON 樂鑫 Realtek ERNI電子
TE Connectivity Toshiba OMRON Sensirion Broadcom Semtech 旺宏 英飛凌
Nexperia Lattice KEMET 順絡(luò)電子 霍尼韋爾 pulse ISSI NXP
Xilinx 廣瀨電機 金升陽 君耀電子 聚洵 Liteon 新潔能 Maxim
MPS 億光 Exar 菲尼克斯 CUI WIZnet Molex Yageo
Samsung 風(fēng)華高科 WINBOND 長晶科技 晶導(dǎo)微電子 上海貝嶺 KOA Echelon
Coilcraft LRC trinamic
放大器 運算放大器 差動放大器 電流感應(yīng)放大器 比較器 儀表放大器 可變增益放大器 隔離放大器
時鐘 時鐘振蕩器 時鐘發(fā)生器 時鐘緩沖器 定時器 寄存器 實時時鐘 PWM 調(diào)制器
視頻放大器 功率放大器 頻率轉(zhuǎn)換器 揚聲器放大器 音頻轉(zhuǎn)換器 音頻開關(guān) 音頻接口 音頻編解碼器
模數(shù)轉(zhuǎn)換器 數(shù)模轉(zhuǎn)換器 數(shù)字電位器 觸摸屏控制器 AFE ADC DAC 電源管理
線性穩(wěn)壓器 LDO 開關(guān)穩(wěn)壓器 DC/DC 降壓轉(zhuǎn)換器 電源模塊 MOSFET IGBT
振蕩器 諧振器 濾波器 電容器 電感器 電阻器 二極管 晶體管
變送器 傳感器 解析器 編碼器 陀螺儀 加速計 溫度傳感器 壓力傳感器
電機驅(qū)動器 步進驅(qū)動器 TWS BLDC 無刷直流驅(qū)動器 濕度傳感器 光學(xué)傳感器 圖像傳感器
數(shù)字隔離器 ESD 保護 收發(fā)器 橋接器 多路復(fù)用器 氮化鎵 PFC 數(shù)字電源
開關(guān)電源 步進電機 無線充電 LabVIEW EMC PLC OLED 單片機
5G m2m DSP MCU ASIC CPU ROM DRAM
NB-IoT LoRa Zigbee NFC 藍牙 RFID Wi-Fi SIGFOX
Type-C USB 以太網(wǎng) 仿真器 RISC RAM 寄存器 GPU
語音識別 萬用表 CPLD 耦合 電路仿真 電容濾波 保護電路 看門狗
CAN CSI DSI DVI Ethernet HDMI I2C RS-485
SDI nas DMA HomeKit 閾值電壓 UART 機器學(xué)習(xí) TensorFlow
Arduino BeagleBone 樹莓派 STM32 MSP430 EFM32 ARM mbed EDA
示波器 LPC imx8 PSoC Altium Designer Allegro Mentor Pads
OrCAD Cadence AutoCAD 華秋DFM Keil MATLAB MPLAB Quartus
C++ Java Python JavaScript node.js RISC-V verilog Tensorflow
Android iOS linux RTOS FreeRTOS LiteOS RT-THread uCOS
DuerOS Brillo Windows11 HarmonyOS
林超文PCB設(shè)計:PADS教程,PADS視頻教程 鄭振宇老師:Altium Designer教程,Altium Designer視頻教程
張飛實戰(zhàn)電子視頻教程 朱有鵬老師:海思HI3518e教程,HI3518e視頻教程
李增老師:信號完整性教程,高速電路仿真教程 華為鴻蒙系統(tǒng)教程,HarmonyOS視頻教程
賽盛:EMC設(shè)計教程,EMC視頻教程 杜洋老師:STM32教程,STM32視頻教程
唐佐林:c語言基礎(chǔ)教程,c語言基礎(chǔ)視頻教程 張飛:BUCK電源教程,BUCK電源視頻教程
正點原子:FPGA教程,F(xiàn)PGA視頻教程 韋東山老師:嵌入式教程,嵌入式視頻教程
張先鳳老師:C語言基礎(chǔ)視頻教程 許孝剛老師:Modbus通訊視頻教程
王振濤老師:NB-IoT開發(fā)視頻教程 Mill老師:FPGA教程,Zynq視頻教程
C語言視頻教程 RK3566芯片資料合集
朱有鵬老師:U-Boot源碼分析視頻教程 開源硬件專題