0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

標(biāo)簽 > VHDL語(yǔ)言

VHDL語(yǔ)言簡(jiǎn)介

  VHDL 的英文全名是VHSIC Hardware Description Language(VHSIC硬件描述語(yǔ)言)。VHSIC是Very High Speed Integrated Circuit的縮寫(xiě),是20世紀(jì)80年代在美國(guó)國(guó)防部的資助下始創(chuàng)的,并最終導(dǎo)致了VHDL語(yǔ)言的出現(xiàn)。1987 年底,VHDL被 IEEE 和美國(guó)國(guó)防部確認(rèn)為標(biāo)準(zhǔn)硬件描述語(yǔ)言。VHDL主要用于描述數(shù)字系統(tǒng)的結(jié)構(gòu),行為,功能和接口。除了含有許多具有硬件特征的語(yǔ)句外,VHDL的語(yǔ)言形式和描述風(fēng)格與句法是十分類似于一般的計(jì)算機(jī)高級(jí)語(yǔ)言。VHDL的程序結(jié)構(gòu)特點(diǎn)是將一項(xiàng)工程設(shè)計(jì),或稱設(shè)計(jì)實(shí)體(可以是一個(gè)元件,一個(gè)電路模塊或一個(gè)系統(tǒng))分成外部(或稱可視部分,及端口)和內(nèi)部(或稱不可視部分),既涉及實(shí)體的內(nèi)部功能和算法完成部分。在對(duì)一個(gè)設(shè)計(jì)實(shí)體定義了外部界面后,一旦其內(nèi)部開(kāi)發(fā)完成后,其他的設(shè)計(jì)就可以直接調(diào)用這個(gè)實(shí)體。這種將設(shè)計(jì)實(shí)體分成內(nèi)外部分的概念是VHDL系統(tǒng)設(shè)計(jì)的基本點(diǎn)。

VHDL語(yǔ)言百科

  VHDL 的英文全名是VHSIC Hardware Description Language(VHSIC硬件描述語(yǔ)言)。VHSIC是Very High Speed Integrated Circuit的縮寫(xiě),是20世紀(jì)80年代在美國(guó)國(guó)防部的資助下始創(chuàng)的,并最終導(dǎo)致了VHDL語(yǔ)言的出現(xiàn)。1987 年底,VHDL被 IEEE 和美國(guó)國(guó)防部確認(rèn)為標(biāo)準(zhǔn)硬件描述語(yǔ)言。VHDL主要用于描述數(shù)字系統(tǒng)的結(jié)構(gòu),行為,功能和接口。除了含有許多具有硬件特征的語(yǔ)句外,VHDL的語(yǔ)言形式和描述風(fēng)格與句法是十分類似于一般的計(jì)算機(jī)高級(jí)語(yǔ)言。VHDL的程序結(jié)構(gòu)特點(diǎn)是將一項(xiàng)工程設(shè)計(jì),或稱設(shè)計(jì)實(shí)體(可以是一個(gè)元件,一個(gè)電路模塊或一個(gè)系統(tǒng))分成外部(或稱可視部分,及端口)和內(nèi)部(或稱不可視部分),既涉及實(shí)體的內(nèi)部功能和算法完成部分。在對(duì)一個(gè)設(shè)計(jì)實(shí)體定義了外部界面后,一旦其內(nèi)部開(kāi)發(fā)完成后,其他的設(shè)計(jì)就可以直接調(diào)用這個(gè)實(shí)體。這種將設(shè)計(jì)實(shí)體分成內(nèi)外部分的概念是VHDL系統(tǒng)設(shè)計(jì)的基本點(diǎn)。

  特點(diǎn)

  VHDL 語(yǔ)言能夠成為標(biāo)準(zhǔn)化的硬件描述語(yǔ)言并獲得廣泛應(yīng)用,它自身必然具有很多其他硬件描述語(yǔ)言所不具備的優(yōu)點(diǎn)。歸納起來(lái),VHDL 語(yǔ)言主要具有以下優(yōu)點(diǎn):

  (1) VHDL 語(yǔ)言功能強(qiáng)大,設(shè)計(jì)方式多樣

  VHDL 語(yǔ)言具有強(qiáng)大的語(yǔ)言結(jié)構(gòu),只需采用簡(jiǎn)單明確的VHDL語(yǔ)言程序就可以描述十分復(fù)雜的硬件電路。同時(shí),它還具有多層次的電路設(shè)計(jì)描述功能。此外,VHDL 語(yǔ)言能夠同時(shí)支持同步電路、異步電路和隨機(jī)電路的設(shè)計(jì)實(shí)現(xiàn),這是其他硬件描述語(yǔ)言所不能比擬的。VHDL 語(yǔ)言設(shè)計(jì)方法靈活多樣,既支持自頂向下的設(shè)計(jì)方式,也支持自底向上的設(shè)計(jì)方法; 既支持模塊化設(shè)計(jì)方法,也支持層次化設(shè)計(jì)方法。

 ?。?) VHDL 語(yǔ)言具有強(qiáng)大的硬件描述能力

  VHDL 語(yǔ)言具有多層次的電路設(shè)計(jì)描述功能,既可描述系統(tǒng)級(jí)電路,也可以描述門級(jí)電路;描述方式既可以采用行為描述、寄存器傳輸描述或者結(jié)構(gòu)描述,也可以采用三者的混合描述方式。同時(shí),VHDL 語(yǔ)言也支持慣性延遲和傳輸延遲,這樣可以準(zhǔn)確地建立硬件電路的模型。VHDL 語(yǔ)言的強(qiáng)大描述能力還體現(xiàn)在它具有豐富的數(shù)據(jù)類型。VHDL 語(yǔ)言既支持標(biāo)準(zhǔn)定義的數(shù)據(jù)類型,也支持用戶定義的數(shù)據(jù)類型,這樣便會(huì)給硬件描述帶來(lái)較大的自由度。

 ?。?) VHDL 語(yǔ)言具有很強(qiáng)的移植能力

  VHDL 語(yǔ)言很強(qiáng)的移植能力主要體現(xiàn)在: 對(duì)于同一個(gè)硬件電路的 VHDL 語(yǔ)言描述,它可以從一個(gè)模擬器移植到另一個(gè)模擬器上、從一個(gè)綜合器移植到另一個(gè)綜合器上或者從一個(gè)工作平臺(tái)移植到另一個(gè)工作平臺(tái)上去執(zhí)行。

  (4) VHDL 語(yǔ)言的設(shè)計(jì)描述與器件無(wú)關(guān)

  采用 VHDL 語(yǔ)言描述硬件電路時(shí),設(shè)計(jì)人員并不需要首先考慮選擇進(jìn)行設(shè)計(jì)的器件。這樣做的好處是可以使設(shè)計(jì)人員集中精力進(jìn)行電路設(shè)計(jì)的優(yōu)化,而不需要考慮其他的問(wèn)題。當(dāng)硬件電路的設(shè)計(jì)描述完成以后,VHDL 語(yǔ)言允許采用多種不同的器件結(jié)構(gòu)來(lái)實(shí)現(xiàn)。

 ?。?) VHDL 語(yǔ)言程序易于共享和復(fù)用

  VHDL 語(yǔ)言采用基于庫(kù) ( library) 的設(shè)計(jì)方法。在設(shè)計(jì)過(guò)程中,設(shè)計(jì)人員可以建立各種可再次利用的模塊,一個(gè)大規(guī)模的硬件電路的設(shè)計(jì)不可能從門級(jí)電路開(kāi)始一步步地進(jìn)行設(shè)計(jì),而是一些模塊的累加。這些模塊可以預(yù)先設(shè)計(jì)或者使用以前設(shè)計(jì)中的存檔模塊,將這些模塊存放在庫(kù)中,就可以在以后的設(shè)計(jì)中進(jìn)行復(fù)用。

  由于 VHDL 語(yǔ)言是一種描述、模擬、綜合、優(yōu)化和布線的標(biāo)準(zhǔn)硬件描述語(yǔ)言,因此它可以使設(shè)計(jì)成果在設(shè)計(jì)人員之間方便地進(jìn)行交流和共享,從而減小硬件電路設(shè)計(jì)的工作量,縮短開(kāi)發(fā)周期。

查看詳情

vhdl語(yǔ)言知識(shí)

展開(kāi)查看更多

vhdl語(yǔ)言技術(shù)

VHDL語(yǔ)言編程用什么編譯軟件_需要看哪方面的書(shū)籍

本文主要介紹了VHDL語(yǔ)言編程用什么編譯軟件以及學(xué)習(xí)VHDL語(yǔ)言需要看哪方面的書(shū)籍,最后還闡述了學(xué)習(xí)VHDL語(yǔ)言應(yīng)注意的幾個(gè)問(wèn)題盤(pán)點(diǎn)。

2018-05-17 標(biāo)簽:vhdl語(yǔ)言vhdl編輯器 3.3萬(wàn) 0

什么是DFX技術(shù)?DFX設(shè)計(jì)一定要執(zhí)行設(shè)計(jì)規(guī)則檢查嗎?

什么是DFX技術(shù)?DFX設(shè)計(jì)一定要執(zhí)行設(shè)計(jì)規(guī)則檢查嗎?

DFX(Dynamic Function eXchange)的前身是PR(部分可重配置,Partial Reconfiguration)。

2023-09-21 標(biāo)簽:fpgaVHDL語(yǔ)言RTL 6738 0

Verilog inout雙向口使用和仿真的方法

芯片外部引腳很多都使用inout類型的,為的是節(jié)省管腿。一般信號(hào)線用做總線等雙向數(shù)據(jù)傳輸?shù)臅r(shí)候就要用到INOUT類型了。就是一個(gè)端口同時(shí)做輸入和輸出。

2023-06-25 標(biāo)簽:VHDL語(yǔ)言RTLMODELSIM仿真 5419 0

testbench是什么? testbench測(cè)試的機(jī)制是什么?

testbench是什么? testbench測(cè)試的機(jī)制是什么?

廢話不多說(shuō)直接上干貨,testbench就是對(duì)寫(xiě)的FPGA文件進(jìn)行測(cè)試的文件,可以是verilog也可以是VHDL。

2023-06-28 標(biāo)簽:FPGA設(shè)計(jì)交換機(jī)VHDL語(yǔ)言 4061 0

例說(shuō)Verilog HDL和VHDL區(qū)別

例說(shuō)Verilog HDL和VHDL區(qū)別

Verilog和VHDL之間的區(qū)別將在本文中通過(guò)示例進(jìn)行詳細(xì)說(shuō)明。對(duì)優(yōu)點(diǎn)和缺點(diǎn)的Verilog和VHDL進(jìn)行了討論。

2023-12-20 標(biāo)簽:NANDasicVHDL語(yǔ)言 2260 0

VHDL語(yǔ)言在EDA仿真中的應(yīng)用

VHDL語(yǔ)言在EDA仿真中的應(yīng)用

EDA技術(shù)徹底改變了數(shù)字系統(tǒng)的設(shè)計(jì)方法和實(shí)現(xiàn)手段,借助于硬件描述語(yǔ)言的國(guó)際標(biāo)準(zhǔn)VHDL 和強(qiáng)大的EDA工具,可減少設(shè)計(jì)風(fēng)險(xiǎn)并縮短周期,隨著VHDL語(yǔ)言使...

2011-04-11 標(biāo)簽:仿真EDAVHDL語(yǔ)言 1985 0

記錄VCS仿真的IP核只有VHDL文件的解決方法

記錄VCS仿真的IP核只有VHDL文件的解決方法

使用VCS仿真Vivado里面的IP核時(shí),如果Vivado的IP核的仿真文件只有VHDL時(shí),仿真將變得有些困難,VCS不能直接仿真VHDL

2023-06-06 標(biāo)簽:fpgaLinux系統(tǒng)VHDL語(yǔ)言 1917 0

基于FPGA芯片和VHDL語(yǔ)言的微型打印機(jī)驅(qū)動(dòng)電路設(shè)計(jì)

基于FPGA芯片和VHDL語(yǔ)言的微型打印機(jī)驅(qū)動(dòng)電路設(shè)計(jì)

隨著FPGA 在各領(lǐng)域的普及使用,以及對(duì)微型打印機(jī)的需要,因此要實(shí)現(xiàn)FPGA 對(duì)微型打印機(jī)的時(shí)序控制。

2019-10-31 標(biāo)簽:USB接口并行接口VHDL語(yǔ)言 1793 0

RTL仿真中X態(tài)行為的傳播—從xprop說(shuō)起

RTL仿真中X態(tài)行為的傳播—從xprop說(shuō)起

在使用VCS進(jìn)行仿真時(shí),工程師們常常會(huì)面對(duì)一個(gè)極為重要且充滿挑戰(zhàn)的問(wèn)題——X態(tài)傳播行為。

2023-12-04 標(biāo)簽:仿真器VHDL語(yǔ)言RTL 1674 0

請(qǐng)問(wèn)如何將C語(yǔ)言算法移植到FPGA上?

確定算法:首先,你需要確保要移植的C語(yǔ)言算法是合適的。FPGA適合并行計(jì)算和高度可定制的應(yīng)用。因此,你需要選擇一個(gè)適合FPGA實(shí)現(xiàn)的算法。

2023-09-12 標(biāo)簽:FPGA設(shè)計(jì)VHDL語(yǔ)言C語(yǔ)言 1578 0

查看更多>>

vhdl語(yǔ)言資訊

三人表決器:VHDL源代碼

--三人表決器(三種不同的描述方式) vhdl -- Three-input Majority Voter -- The entity declarat...

2012-05-18 標(biāo)簽:VHDL語(yǔ)言VHDL源代碼三人表決器 2.2萬(wàn) 0

vhdl語(yǔ)言和c語(yǔ)言區(qū)別大嗎?差異性體現(xiàn)在哪兒

vhdl語(yǔ)言和c語(yǔ)言區(qū)別大嗎?差異性體現(xiàn)在哪兒

相信對(duì)vhdl語(yǔ)言和c語(yǔ)言區(qū)別也有了一定的了解,并且它們兩者之間的區(qū)別還是挺大的,下面我們?cè)敿?xì)細(xì)數(shù)一下它們的區(qū)別。

2017-11-09 標(biāo)簽:vhdl語(yǔ)言c語(yǔ)言 2.0萬(wàn) 0

PLD設(shè)計(jì)速成(3)-采用VHDL設(shè)計(jì)輸入三人表決器

PLD設(shè)計(jì)速成(3)-采用VHDL設(shè)計(jì)輸入三人表決器

打開(kāi)MAX plusII,在開(kāi)始菜單內(nèi)選擇MAX PLUS II 項(xiàng),開(kāi)始運(yùn)行MAX PLUS II(如下圖) 你最好把圖標(biāo)放到桌面上,以后直接雙擊MA...

2012-05-18 標(biāo)簽:VHDL語(yǔ)言PLD芯片三人表決器 1.5萬(wàn) 0

PLD設(shè)計(jì)速成(4)-采用VerilogHDL輸入三人表決器

PLD設(shè)計(jì)速成(4)-采用VerilogHDL輸入三人表決器

下面僅把和VHDL不同的詳細(xì)寫(xiě)下,相同或基本相同的就一帶而過(guò): (1)打開(kāi)MAX plusII (2)新建 新建一個(gè)verilog-HDL文件(Text...

2012-05-18 標(biāo)簽:VHDL語(yǔ)言PLD芯片三人表決器 1.4萬(wàn) 0

什么是vhdl語(yǔ)言_簡(jiǎn)述vhdl語(yǔ)言的特點(diǎn)

什么是vhdl語(yǔ)言 VHDL 的英文全名是VHSIC Hardware Description Language(VHSIC硬件描述語(yǔ)言)。VHSIC是...

2020-04-23 標(biāo)簽:VHDL語(yǔ)言 1.1萬(wàn) 0

PLD設(shè)計(jì)速成(2)-采用原理圖設(shè)計(jì)三人表決器

PLD設(shè)計(jì)速成(2)-采用原理圖設(shè)計(jì)三人表決器

我們根據(jù)三人表決器的直值表,可以通過(guò) 卡諾圖 化簡(jiǎn)可以得到: L2=SW1SW2 SW1SW3 SW2SW3 L1=_L2 那么我們可以在MAX plu...

2012-05-18 標(biāo)簽:VHDL語(yǔ)言PLD芯片三人表決器 8835 0

簡(jiǎn)述BSDL邊界掃描語(yǔ)言,BSDL邊界掃描語(yǔ)言的應(yīng)用

簡(jiǎn)述BSDL邊界掃描語(yǔ)言,BSDL邊界掃描語(yǔ)言的應(yīng)用

BSDL邊界掃描語(yǔ)言的邊界掃描是一個(gè)完善的測(cè)試技術(shù)。 邊界掃描在自當(dāng)聯(lián)合測(cè)試行動(dòng)組(JTAG)90年代初發(fā)明了一種解決方案來(lái)測(cè)試使用了許多新的印刷電路,...

2017-04-19 標(biāo)簽:vhdl語(yǔ)言vhdlc++ 8489 0

基于VHDL語(yǔ)言的按鍵消抖電路設(shè)計(jì)及仿真

基于VHDL語(yǔ)言的按鍵消抖電路設(shè)計(jì)及仿真

基于VHDL語(yǔ)言的按鍵消抖電路設(shè)計(jì)及仿真  按鍵開(kāi)關(guān)是電子設(shè)備實(shí)現(xiàn)人機(jī)對(duì)話的重要器件之一。由于大部分按鍵是機(jī)械觸點(diǎn),在觸點(diǎn)閉合和斷開(kāi)時(shí)都會(huì)產(chǎn)生抖動(dòng)。為避免

2010-01-04 標(biāo)簽:VHDL語(yǔ)言按鍵消 5898 0

VHDL語(yǔ)言

一個(gè)完整的VHDL程序包括實(shí)體(Entity),結(jié)構(gòu)體(Architecture),配置(Configuration),包集合(Package),庫(kù)(L...

2022-11-09 標(biāo)簽:VHDL語(yǔ)言 4625 0

vhdl語(yǔ)言怎么仿真_vhdl語(yǔ)言的基本結(jié)構(gòu)

在VHDL程序中,實(shí)體(ENTITY)和結(jié)構(gòu)體(ARCHITECTURE)這兩個(gè)基本結(jié)構(gòu)是必須的,他們可以構(gòu)成最簡(jiǎn)單的VHDL程序。通常,最簡(jiǎn)單的VHD...

2020-04-23 標(biāo)簽:VHDL語(yǔ)言 4567 0

查看更多>>

vhdl語(yǔ)言數(shù)據(jù)手冊(cè)

相關(guān)標(biāo)簽

相關(guān)話題

換一批
  • Protues
    Protues
    +關(guān)注
    Proteus軟件是英國(guó)Lab Center Electronics公司出版的EDA工具軟件(該軟件中國(guó)總代理為廣州風(fēng)標(biāo)電子技術(shù)有限公司)。它不僅具有其它EDA工具軟件的仿真功能,還能仿真單片機(jī)及外圍器件。
  • 靜電防護(hù)
    靜電防護(hù)
    +關(guān)注
    為防止靜電積累所引起的人身電擊、火災(zāi)和爆炸、電子器件失效和損壞,以及對(duì)生產(chǎn)的不良影響而采取的防范措施。其防范原則主要是抑制靜電的產(chǎn)生,加速靜電泄漏,進(jìn)行靜電中和等。
  • Altium Designer
    Altium Designer
    +關(guān)注
  • FPGA芯片
    FPGA芯片
    +關(guān)注
    FPGA(Field-Programmable Gate Array),即現(xiàn)場(chǎng)可編程門陣列,它是在PAL、GAL、CPLD等可編程器件的基礎(chǔ)上進(jìn)一步發(fā)展的產(chǎn)物。
  • ArduBlock
    ArduBlock
    +關(guān)注
    ArduBlock軟件是Arduino官方編程環(huán)境的第三方軟件,目前必須依附于Arduino軟件下運(yùn)行,區(qū)別于Arduino文本式編程環(huán)境,ArduBlock是以圖形化積木搭建的方式編程的,這樣的方式會(huì)使編程的可視化和交互性加強(qiáng),編程門檻降低,即使沒(méi)有編程經(jīng)驗(yàn)的人也可以嘗試給Arduino控制器編寫(xiě)程序。
  • AD10
    AD10
    +關(guān)注
  • 識(shí)別
    識(shí)別
    +關(guān)注
  • FPGA開(kāi)發(fā)板
    FPGA開(kāi)發(fā)板
    +關(guān)注
    FPGA開(kāi)發(fā)板在基于MCU、定制ASIC和體積龐大的電線束來(lái)實(shí)現(xiàn)引擎及控制電子的系統(tǒng)方案已發(fā)展至接近其技術(shù)和應(yīng)用極限,汽車工業(yè)正面臨新的設(shè)計(jì)挑戰(zhàn)。過(guò)去汽車電子產(chǎn)品的開(kāi)發(fā)周期是漫長(zhǎng)的,而許多汽車制造商現(xiàn)正致力于在更短的時(shí)間內(nèi),裝備消費(fèi)者所需的新一代汽車。
  • PCB封裝
    PCB封裝
    +關(guān)注
    pcb封裝就是把 實(shí)際的電子元器件,芯片等的各種參數(shù)(比如元器件的大小,長(zhǎng)寬,直插,貼片,焊盤(pán)的大小,管腳的長(zhǎng)寬,管腳的間距等)用圖形方式表現(xiàn)出來(lái),以便可以在畫(huà)pcb圖時(shí)進(jìn)行調(diào)用。
  • QUARTUS II
    QUARTUS II
    +關(guān)注
    Quartus II 是Altera公司推出的綜合性CPLD/FPGA開(kāi)發(fā)軟件,軟件支持原理圖、VHDL、VerilogHDL以及AHDL(Altera Hardware 支持Description Language)等多種設(shè)計(jì)輸入形式,內(nèi)嵌自有的綜合器以及仿真器,可以完成從設(shè)計(jì)輸入到硬件配置的完整PLD設(shè)計(jì)流程。
  • PCB封裝庫(kù)
    PCB封裝庫(kù)
    +關(guān)注
  • 語(yǔ)音交互
    語(yǔ)音交互
    +關(guān)注
  • AD09
    AD09
    +關(guān)注
  • PDN
    PDN
    +關(guān)注
  • QuickPcb
    QuickPcb
    +關(guān)注
  • Artix-7
    Artix-7
    +關(guān)注
      Artix-7 系列:相對(duì)于 Spartan-6 系列而言,Artix-7 系列功耗降低了一半, 成本降低了 35%,采用小型化封裝、統(tǒng)一的 Virtex 系列架構(gòu),能滿足低成本大批量市場(chǎng)的性能要求,這也正是此前 ASSP、ASIC 和低成本 FPGA 所針對(duì)的市場(chǎng)領(lǐng)域。
  • VHDL代碼
    VHDL代碼
    +關(guān)注
  • Protel 99 se
    Protel 99 se
    +關(guān)注
  • powerlink
    powerlink
    +關(guān)注
  • candence
    candence
    +關(guān)注
  • 面包板
    面包板
    +關(guān)注
    面包板是由于板子上有很多小插孔,專為電子電路的無(wú)焊接實(shí)驗(yàn)設(shè)計(jì)制造的。由于各種電子元器件可根據(jù)需要隨意插入或拔出,免去了焊接,節(jié)省了電路的組裝時(shí)間,而且元件可以重復(fù)使用,所以非常適合電子電路的組裝、調(diào)試和訓(xùn)練。
  • 特性阻抗
    特性阻抗
    +關(guān)注
    特性阻抗又稱特征阻抗,它不是直流電阻,屬于長(zhǎng)線傳輸中的概念。特性阻抗是射頻傳輸線影響無(wú)線電波電壓、電流的幅值和相位變化的固有特性,等于各處的電壓與電流的比值,用V/I表示。在射頻電路中,電阻、電容、電感都會(huì)阻礙交變電流的流動(dòng),合稱阻抗。電阻是吸收電磁能量的,理想電容和電感不消耗電磁能量。
  • AXI
    AXI
    +關(guān)注
    AXI是一種總線協(xié)議,該協(xié)議是ARM公司提出的AMBA3.0協(xié)議中最重要的部分,是一種面向高性能、高帶寬、低延遲的片內(nèi)總線。它的地址/控制和數(shù)據(jù)相位是分離的,支持不對(duì)齊的數(shù)據(jù)傳輸,同時(shí)在突發(fā)傳輸中,只需要首地址,同時(shí)分離的讀寫(xiě)數(shù)據(jù)通道、并支持Outstanding傳輸訪問(wèn)和亂序訪問(wèn),并更加容易進(jìn)行時(shí)序收斂。AXI是AMBA中一個(gè)新的高性能協(xié)議。
  • 驅(qū)動(dòng)電流
    驅(qū)動(dòng)電流
    +關(guān)注
  • FPGA教程
    FPGA教程
    +關(guān)注
  • 時(shí)鐘源
    時(shí)鐘源
    +關(guān)注
    時(shí)鐘源用來(lái)為環(huán)形脈沖發(fā)生器提供頻率穩(wěn)定且電平匹配的方波時(shí)鐘脈沖信號(hào)。它通常由石英 晶體振蕩器和與非門組成的正反饋振蕩電路組成,其輸出送至環(huán)形脈沖發(fā)生器。
  • Kintex-7
    Kintex-7
    +關(guān)注
      Kintex-7系列:Kintex-7 系列是一種新型 FPGA,能以不到 Virtex-6 系列一半的價(jià)格實(shí)現(xiàn)與其相當(dāng)性能,性價(jià)比提高了一倍,功耗降低了一半。
  • AD采樣
    AD采樣
    +關(guān)注
      AD轉(zhuǎn)換采樣頻率指完成一次從模擬轉(zhuǎn)換到數(shù)字的AD轉(zhuǎn)換所需時(shí)間的倒數(shù),模擬量可以是電壓、電流等電信號(hào),也可以是壓力、溫度、濕度、位移、聲音等非電信號(hào);而AD分辨率指數(shù)字量變化一個(gè)最小量時(shí)模擬信號(hào)的變化量。
  • 紅外觸摸屏
    紅外觸摸屏
    +關(guān)注
  • Protel DXP
    Protel DXP
    +關(guān)注
換一批

關(guān)注此標(biāo)簽的用戶(1人)

哭泣灬的刀

編輯推薦廠商產(chǎn)品技術(shù)軟件/工具OS/語(yǔ)言教程專題