電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>通信網(wǎng)絡(luò)>通信網(wǎng)絡(luò)產(chǎn)品創(chuàng)意>一種近距雷達(dá)目標(biāo)檢測信號處理的FPGA實現(xiàn)

一種近距雷達(dá)目標(biāo)檢測信號處理的FPGA實現(xiàn)

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴

評論

查看更多

相關(guān)推薦

雷達(dá)回波信號檢測基礎(chǔ)知識

雷達(dá)回波信號有兩個狀態(tài):有目標(biāo)和沒有目標(biāo)。雷達(dá)接收的回波中,既可能有目標(biāo)回波也存在噪聲和雜波等各種干擾信號。所以雷達(dá)目標(biāo)回波信號檢測是在噪聲和雜波干擾背景中的二元信號最佳檢測問題。
2023-11-18 09:16:45817

FPGA+DSP導(dǎo)引頭信號處理中的FPGA技術(shù)該怎么實現(xiàn)

FPGA+DSP的導(dǎo)引頭信號處理結(jié)構(gòu)成為當(dāng)前以及未來段時間的主流。FPGA和DSP處理器具有截然不同的架構(gòu),在一種器件上非常有效的算法.在另一種器件上可能效率會非常低。如果目標(biāo)要求大量的并行處理或者最大
2019-08-30 06:31:29

一種基于FPGA嵌入式系統(tǒng)的雷達(dá)信號模擬器系統(tǒng)設(shè)計

在實際的外場試飛過程中是不可能實現(xiàn)的,這也是雷達(dá)信號模擬器對場外試飛的大優(yōu)勢。FPGA作為高性能數(shù)字信號處理系統(tǒng)中的關(guān)鍵部件,在雷達(dá)信號模擬和雷達(dá)信號采集等方面有著巨大的開發(fā)潛能,采用這些技術(shù)對雷達(dá)
2019-07-10 07:30:35

一種基于FPGA的DSU硬件實現(xiàn)方法

摘要:為了實現(xiàn)對非相干雷達(dá)的接收相參處理,基于數(shù)字穩(wěn)定校正(DSU)的原理,采用ALTERA公司的StratixⅡ系列芯片和VHDL編程語言,設(shè)計了一種基于FPGA的DSU硬件實現(xiàn)方法。實驗結(jié)果表明
2019-06-28 08:27:33

一種基于FPGA的UART實現(xiàn)方法設(shè)計

摘要:UART作為RS232協(xié)議的控制接口得到了廣泛的應(yīng)用,將UART的功能集成在FPGA芯片中,可使整個系統(tǒng)更為靈活、緊湊,減小整個電路的體積,提高系統(tǒng)的可靠性和穩(wěn)定性。提出了一種基于FPGA
2019-06-21 07:17:24

一種基于FPGA的可配置FFT IP核實現(xiàn)設(shè)計

中,數(shù)字信號處理系統(tǒng)經(jīng)常要進(jìn)行高速、高精度的FFF運(yùn)算?,F(xiàn)場可編程邏輯陣列(FPGA)是一種可定制集成電路,具有面向數(shù)字信號處理算法的物理結(jié)構(gòu)。用FPGA實現(xiàn)FFT處理器具有硬件系統(tǒng)簡單、功耗低的優(yōu)點
2019-07-03 07:56:53

一種基于FPGA的多通道頻率測量系統(tǒng)的實現(xiàn)方法介紹

設(shè)計了一種多通道頻率測量系統(tǒng)。系統(tǒng)由模擬開關(guān)、信號調(diào)理電路、FPGA、總線驅(qū)動電路構(gòu)成,實現(xiàn)對頻率信號的分壓、放大、濾波、比較、測量,具備回路自測試功能,可與主設(shè)備進(jìn)行數(shù)據(jù)交互,具有精度高、可擴(kuò)展
2019-06-27 07:23:11

一種基于FPGA的實時視頻圖像處理算法研究與實現(xiàn)

摘要為有效提高視頻監(jiān)控應(yīng)用領(lǐng)域中多屏幕畫面顯示的清晰度、分辨度等問題,提出了一種基于FPGA的實時視頻圖像處理算法。文中介紹了系統(tǒng)的整體結(jié)構(gòu),然后針對FPGA模塊介紹了視頻圖像的緩存及圖像分割,并
2019-06-28 07:06:54

一種基于FPGA的振動信號采集處理系統(tǒng)設(shè)計介紹

越來越力不從心?! ∫?b class="flag-6" style="color: red">FPGA為代表的可編程邏輯器件以其工作穩(wěn)定、速度快、靈活的可編程能力等特點,獲得了越來越廣泛應(yīng)用。本文提出了一種基于FPGA的振動信號采集處理系統(tǒng);該系統(tǒng)具有實時性高,糾錯能力強(qiáng)等
2019-07-01 06:11:15

一種基于ADSP21062的雷達(dá)信號處理系統(tǒng)調(diào)試設(shè)計

是人們在應(yīng)用該芯片時必須解決的關(guān)鍵問題。本文提出了一種簡單易行的測試方法,并在基于ADSP21062的雷達(dá)信號處理系統(tǒng)調(diào)試中獲得了成功,驗證了該方法的可行性。
2019-07-19 08:16:35

一種基于TS101的SAR回波信號模擬器設(shè)計

作者:潘勇先 中國電子科技集團(tuán)0 引言 合成孔徑雷達(dá)(Synthetic Aperture Radar,SAR)是一種高分辨率微波成像雷達(dá),可以全天候、全天時的利用微波照射獲得地面目標(biāo)的散射信息
2019-07-22 06:29:35

一種基于Xilinx FPGA的電力諧波檢測設(shè)計

  基于FFT算法的電力系統(tǒng)諧波檢測裝置,大多采用DSP芯片設(shè)計。DSP芯片是采用哈佛結(jié)構(gòu)設(shè)計的一種CPU,運(yùn)算能力很強(qiáng),速度很快;但是其順序 執(zhí)行的模式限制了其進(jìn)行FFT運(yùn)算的速度。而現(xiàn)場可編程
2019-06-21 06:25:23

一種基于圖像平移的目標(biāo)檢測框架

1、摘要近年來,在深度卷積神經(jīng)網(wǎng)絡(luò)(CNNs)的幫助下,圖像和視頻監(jiān)控在智能交通系統(tǒng)(ITS)中取得了長足的進(jìn)展。作為一種先進(jìn)的感知方法,智能交通系統(tǒng)對視頻監(jiān)控中每幀感興趣的目標(biāo)進(jìn)行檢測是其廣泛
2021-08-31 07:43:19

一種脈寬精密可控的脈沖信號電路設(shè)計

電子技術(shù)中項重要的基礎(chǔ)技術(shù),其在大規(guī)模集成電路的測試、半導(dǎo)體器件性能檢測、地質(zhì)探測以及雷達(dá)、電子對抗、通信系統(tǒng)和計算機(jī)硬件系統(tǒng)設(shè)計中都起著重要作用。但傳統(tǒng)意義上的脈沖信號已經(jīng)不能滿足現(xiàn)代技術(shù)的需要,在很多實際工程應(yīng)用中對高速脈沖信號源多全文下載
2010-05-06 08:57:59

一種自主產(chǎn)生式的雷達(dá)回波模擬器中頻部分的設(shè)計實現(xiàn)方法論述

調(diào)制脈沖,并對發(fā)射信號進(jìn)行下變頻、采樣存儲、完成目標(biāo)與干擾的信息數(shù)字調(diào)制處理,再通過高速D/A和上變頻器回放出來的一種實現(xiàn)模式。自主產(chǎn)生式則無需接收待測產(chǎn)品的發(fā)射信號,但需要得到與產(chǎn)品相參的時鐘和調(diào)制
2019-07-16 07:40:26

一種自主產(chǎn)生式的雷達(dá)回波模擬器中頻部分的設(shè)計論述

本文論述一種自主產(chǎn)生式的雷達(dá)回波模擬器中頻部分的設(shè)計實現(xiàn)方法,該模擬器可產(chǎn)生脈沖單頻、脈沖線性調(diào)頻、步進(jìn)頻、步進(jìn)頻+線性調(diào)頻等多種波形的雷達(dá)回波信號,并可產(chǎn)生雙目標(biāo)和參數(shù)可控的帶限高斯白噪聲,可模擬
2019-07-19 07:26:14

近距輕掃和運(yùn)動感知視頻展示雷達(dá)IC應(yīng)用

,發(fā)射機(jī)產(chǎn)生電磁信號,由天線輻射到空中,發(fā)射的信號部分被目標(biāo)攔截并向許多方向再輻射,向后再輻射回到雷達(dá)信號被天線采集,并送到接收機(jī)。 在接收機(jī)中,該信號處理檢測目標(biāo)的存在并確定其位置, 最后在
2022-11-16 14:31:32

雷達(dá)目標(biāo)檢測算法研究及優(yōu)化

雷達(dá) 目 標(biāo)檢測 。 隨著雷達(dá)信號 處理技術(shù) 的發(fā)展和統(tǒng)計判決思想 的影響 , 產(chǎn) 生了 恒虛警率 ( Constant F al se Alarm Rate, CFAR )自 適應(yīng)檢測技術(shù)
2018-02-28 09:19:59

雷達(dá)目標(biāo)檢測算法研究及優(yōu)化

雷達(dá) 目 標(biāo)檢測 。 隨著雷達(dá)信號 處理技術(shù) 的發(fā)展和統(tǒng)計判決思想 的影響 , 產(chǎn) 生了 恒虛警率 ( Constant F al se Alarm Rate, CFAR )自 適應(yīng)檢測技術(shù)。 雷達(dá)
2018-03-29 10:53:32

雷達(dá)傳感器的新型熱門應(yīng)用

創(chuàng)新的信號處理技術(shù)和計算能力不斷增強(qiáng)的芯片,雷達(dá)的感知功能日益強(qiáng)大。雷達(dá)感知是一種無線感知技術(shù)。通過分析接收到的目標(biāo)回波特性,提取并發(fā)現(xiàn)目標(biāo)的位置、形狀、運(yùn)動特性和運(yùn)動軌跡,并且可以進(jìn)步推斷目標(biāo)和環(huán)境
2018-11-08 10:41:54

雷達(dá)傳感器的新型熱門應(yīng)用

的芯片,雷達(dá)的感知功能日益強(qiáng)大。雷達(dá)感知是一種無線感知技術(shù)。通過分析接收到的目標(biāo)回波特性,提取并發(fā)現(xiàn)目標(biāo)的位置、形狀、運(yùn)動特性和運(yùn)動軌跡,并且可以進(jìn)步推斷目標(biāo)和環(huán)境的特征。其作用類似于人類的眼睛和耳朵
2018-11-08 10:55:44

雷達(dá)回波系統(tǒng)的應(yīng)用研究

利用信號的能量,對信號進(jìn)行積累,以提高雷達(dá)系統(tǒng)的有效檢測性能。在對低可觀測性目標(biāo)檢測時必須采用長時間積累。線性調(diào)頻連續(xù)波處理技術(shù):線性調(diào)頻連續(xù)波雷達(dá)一種通過對連續(xù)波進(jìn)行頻率調(diào)制來獲得距離與速度信息
2016-07-01 11:47:58

【共享】雷達(dá)信號檢測(包括MTI,脈沖多普勒,CFAR處理

本人近段時間對雷達(dá)信號檢測與判決做了些學(xué)習(xí)和研究,還處在入門階段,現(xiàn)應(yīng)用個仿真實例對動目標(biāo)信號檢測判決算法做了分析和驗證。其中包括MTI,脈沖多普勒處理,CFAR自適應(yīng)門限檢測等。這里分享下,大家起研究研究,還請各位前輩指點。謝謝。
2013-11-02 22:23:25

介紹一種適合大規(guī)模數(shù)字信號處理的并行處理結(jié)構(gòu)

本文提出了一種基于FPGA的適合大規(guī)模數(shù)字信號處理的并行處理結(jié)構(gòu)。
2021-04-30 07:16:52

分享一種DTMF信號檢測器工程的應(yīng)用方案

基于改進(jìn)的ADALINE神經(jīng)網(wǎng)絡(luò)的DTMF檢測算法基于改進(jìn)的ADALINE神經(jīng)網(wǎng)絡(luò)的DTMF解碼仿真結(jié)果分享一種DTMF信號檢測器工程的應(yīng)用方案
2021-06-03 07:03:11

利用FPGA怎么實現(xiàn)數(shù)字信號處理?

DSP技術(shù)廣泛應(yīng)用于各個領(lǐng)域,但傳統(tǒng)的數(shù)字信號處理器由于以順序方式工作使得數(shù)據(jù)處理速度較低,且在功能重構(gòu)及應(yīng)用目標(biāo)的修改方面缺乏靈活性。而使用具有并行處理特性的FPGA實現(xiàn)數(shù)字信號處理系統(tǒng),具有很強(qiáng)的實時性和靈活性,因此利用FPGA實現(xiàn)數(shù)字信號處理成為數(shù)字信號處理領(lǐng)域的一種新的趨勢。
2019-10-17 08:12:27

利用DSP和FPGA技術(shù)的低信噪比雷達(dá)信號檢測設(shè)計介紹

dB時能測到雷達(dá)信號,使雷達(dá)的有效作用距離提高。本文主要介紹基于DSP和FPGA技術(shù)的低信噪比情況下雷達(dá)信號檢測?!?/div>
2019-07-04 06:55:39

可識別方位引信信號處理系統(tǒng)的原理是什么?

,有利于方位識別引信的實現(xiàn)。文中在采用FPGA+DSP架構(gòu)的基礎(chǔ)上,實現(xiàn)一種具有8象限方位識別能力的引信信號處理機(jī)。
2020-04-20 07:24:20

基于FPGA和DSP的雷達(dá)模目信號設(shè)計

  本文介紹了一種雷達(dá)模目信號產(chǎn)生方法,該方法能夠通過FPGA和DSP實時產(chǎn)生具有多普勒頻移的多波束雷達(dá)目標(biāo)回波,其意義在于可以為雷達(dá)信號處理分系統(tǒng)單獨(dú)調(diào)試提供數(shù)據(jù)來源,從而不必等待天線陣面的真實數(shù)據(jù),這樣可以加快科研進(jìn)度,也方便整機(jī)聯(lián)試時查找問題。
2011-07-13 09:09:26

基于FPGA雷達(dá)線性調(diào)頻信號實現(xiàn)

王玲,邱軍海,王世橋(煙臺工程職業(yè)技術(shù)學(xué)院山東煙臺264006)線性調(diào)頻信號可以獲得較大的壓縮比,有著良好的距離分辨率和徑向速度分辨率,所以線性調(diào)頻信號作為雷達(dá)系統(tǒng)中一種常用的脈沖壓縮信號,已經(jīng)
2019-07-08 07:38:45

基于CPCI接口DSP板的雷達(dá)目標(biāo)模擬器

信號并輸出。利用DSP/FPGA的高速計算性能、直接數(shù)字合成(DDS)技術(shù)和數(shù)字射頻存儲(DRFM)技術(shù),可以實現(xiàn)相位編碼、線性調(diào)頻、非線性調(diào)頻等多種復(fù)雜方式下的目標(biāo)回波信號的實時模擬,檢測雷達(dá)的跟蹤
2019-06-03 05:00:08

基于CPLD的雷達(dá)仿真信號實現(xiàn)方案

成本,而且還給系統(tǒng)軟件設(shè)計增加不必要的負(fù)擔(dān)。為此,提出了一種基于CPLD的雷達(dá)仿真信號實現(xiàn)方案,它能為機(jī)載雷達(dá)測試系統(tǒng)提供所需的多種典型的重頻脈沖及制導(dǎo)信號。
2020-12-08 06:09:34

基于DSP+FPGA雷達(dá)信號模擬器系統(tǒng)設(shè)計

在實際的外場試飛過程中是不可能實現(xiàn)的,這也是雷達(dá)信號模擬器對場外試飛的大優(yōu)勢。FPGA作為高性能數(shù)字信號處理系統(tǒng)中的關(guān)鍵部件,在雷達(dá)信號模擬和雷達(dá)信號采集等方面有著巨大的開發(fā)潛能,采用這些技術(shù)對雷達(dá)
2019-07-15 06:48:33

基于DSP和FPGA技術(shù)的低信噪比雷達(dá)信號檢測

的不同進(jìn)行調(diào)整。設(shè)信號的第檢測門限為Z1,信號的第二檢測門限為Zh,則:4 雷達(dá)信號FPGA檢測方法  DSP處理器計算出雷達(dá)信號的判決門限值,FPGA芯片根據(jù)門限值從高速A/D轉(zhuǎn)換器的轉(zhuǎn)換結(jié)果中提
2018-08-15 09:43:14

基于多核處理器的彈載嵌入式系統(tǒng)該怎么設(shè)計?

彈載信息處理系統(tǒng)是一種實時嵌入式數(shù)字處理系統(tǒng),用于對彈載導(dǎo)引系統(tǒng)接收信號進(jìn)行分析處理實現(xiàn)目標(biāo)信號檢測、截獲和跟蹤以及目標(biāo)信息的提取,是彈載雷達(dá)導(dǎo)引系統(tǒng)的關(guān)鍵組成部分。隨著軍事技術(shù)的發(fā)展,未來
2019-08-29 06:07:24

如何使用SoC FPGA,實現(xiàn)汽車雷達(dá)的數(shù)字化處理

使用SoC FPGA實現(xiàn)汽車雷達(dá)的數(shù)字化處理本白皮書介紹使用Altera? 低成本Cyclone? V SoC FPGA,實現(xiàn)典型雷達(dá)系統(tǒng)數(shù)字化處理的可行性。與定制ASIC 相比,這方法的優(yōu)勢
2013-11-13 15:56:28

如何利用FPGA構(gòu)建一種通用的雷達(dá)回波信號實時模擬系統(tǒng)?

本文以FPGA為核心構(gòu)建了一種通用的雷達(dá)回波信號實時模擬系統(tǒng)。該系統(tǒng)采用FPGA作為回波信號模擬的運(yùn)算單元,充分利用了FPGA資源豐富、并行處理能力強(qiáng)的特點,提高了系統(tǒng)的實時性;采用System
2021-04-29 06:14:20

如何利用DSP和FPGA技術(shù)檢測低信噪比雷達(dá)信號?

dB時能測到雷達(dá)信號,使雷達(dá)的有效作用距離提高。有哪些方法能檢測低信噪比雷達(dá)信號 ? 可以利用DSP和FPGA技術(shù)嗎?
2019-08-05 07:30:20

如何去實現(xiàn)一種CCD視頻信號處理電路的設(shè)計?

本文介紹了一種采用專用CCD視頻信號處理芯片和CPLD技術(shù)來設(shè)計的CCD視頻信號處理電路,并采用USB接口技術(shù)實現(xiàn)數(shù)據(jù)傳輸。
2021-06-04 07:14:43

如何去實現(xiàn)一種電控噴油器檢測系統(tǒng)?

電控噴油器的工作特性是什么?檢測系統(tǒng)實現(xiàn)的功能有哪些?如何去實現(xiàn)一種電控噴油器檢測系統(tǒng)?
2021-05-14 07:15:23

如何在FPGA實現(xiàn)雷達(dá)視頻積累算法?

檢測性能,在檢測前進(jìn)行次視頻積累是非常必要的。雖然視頻積累的效果不如相參積累,但是視頻積累的工程實現(xiàn)比較簡單,對雷達(dá)的收發(fā)系統(tǒng)沒有嚴(yán)格的相參性要求,且對大多數(shù)運(yùn)動目標(biāo)來講其回波的起伏將明顯破壞相鄰回波信號的相位相參性,因此在許多實際工程應(yīng)用場合還是采用視頻積累。
2019-08-12 06:00:57

怎么實現(xiàn)一種低成本微型測距雷達(dá)的設(shè)計?

微型測距雷達(dá)主要用于哪些方面?微型測距雷達(dá)的原理及組成是什么?怎么實現(xiàn)一種低成本微型測距雷達(dá)的設(shè)計?
2021-05-12 06:45:41

怎么設(shè)計微功率沖擊雷達(dá)系統(tǒng)接收信號處理電路?

微功率沖擊雷達(dá)是國際上近年來發(fā)展起來的一種新型高技術(shù)雷達(dá),作為超寬帶雷達(dá)類型的一種,沖激雷達(dá)直接發(fā)射無載波的基帶極窄脈沖,與傳統(tǒng)雷達(dá)不同的是沖激雷達(dá)系統(tǒng)既不需要對發(fā)射信號進(jìn)行載波調(diào)制,也不需要對接收信號
2019-08-20 06:43:40

怎樣去設(shè)計一種基于FPGA的實時圖像邊緣檢測系統(tǒng)

FPGA是如何實現(xiàn)圖像的邊緣檢測的?怎樣去設(shè)計一種基于FPGA的實時圖像邊緣檢測系統(tǒng)?
2021-10-19 10:10:23

畢設(shè)求助(可以有償)——基于FPGA的LFMCW雷達(dá)目標(biāo)檢測方法

要畢業(yè)了,畢設(shè)是完全沒學(xué)過的FPGA課題,求助各位大哥幫幫忙,要求:了解并掌握LFMCW雷達(dá)的工作原理、用途及優(yōu)缺點;了解常用的雷達(dá)目標(biāo)檢測方法,選取其中一種完成其Verilog實現(xiàn),并用FPGA
2018-04-08 23:48:36

毫米波雷達(dá)

系統(tǒng)也是雷達(dá)重要的組成部分,通過嵌入不同的信號處理算法,提取從射頻前端采集得到的中頻信號,獲得特定類型的目標(biāo)信息。信號處理系統(tǒng)一般以DSP為核心,實現(xiàn)復(fù)雜的數(shù)字信號處理算法,滿足雷達(dá)的實時性需求?! ?
2019-12-16 11:09:32

一種基于CPLD的雷達(dá)仿真信號實現(xiàn)方案

本文提出了一種基于CPLD的雷達(dá)仿真信號實現(xiàn)方案,它能為機(jī)載雷達(dá)測試系統(tǒng)提供所需的多種典型的重頻脈沖及制導(dǎo)信號。
2021-05-06 06:19:25

一種基于“AD+FPGA”的中頻信號處理技術(shù)

本文對數(shù)字中頻信號處理技術(shù)進(jìn)行了研究,采用軟件無線電的設(shè)計思想和解決方案,提出了一種基于“AD+FPGA”的中頻信號處理技術(shù),在頻譜分析儀及信號分析儀等接收機(jī)中應(yīng)用廣泛。
2021-05-18 06:42:13

求大佬分享一種基于毫米波雷達(dá)和機(jī)器視覺的前方車輛檢測方法

為研究夜間追尾事故中本車智能防撞預(yù)警方法,本文提出了一種基于毫米波雷達(dá)和機(jī)器視覺的前方車輛檢測方法。利用多傳感器融合數(shù)據(jù),檢測前方車輛的距離、速度等。建立傳感器之間轉(zhuǎn)換關(guān)系,轉(zhuǎn)換雷達(dá)目標(biāo)的世界坐標(biāo)到圖像坐標(biāo)。
2021-06-10 10:23:08

采用AD9858實現(xiàn)雷達(dá)信號源的應(yīng)用設(shè)計

般的雷達(dá)信號實現(xiàn)主要有三方式:第一種方式是采用DDS和MCU控制器件結(jié)合的方式;第二是DDS、MCU控制器件和FPGA等可編程器件結(jié)合的方式:第三是由FPGA等可編程器件實現(xiàn)DDS的方式
2020-11-24 06:39:52

一種雷達(dá)回波信號模擬器的設(shè)計與實現(xiàn)

本文提出了一種基于CPCI母板和PMC背板的通用雷達(dá)回波模擬器的設(shè)計與實現(xiàn),重點介紹了基于單片FPGA設(shè)計PMC背板,實現(xiàn)雷達(dá)回波信號模擬器數(shù)據(jù)合成(噪聲/雜澎目標(biāo)回波)的設(shè)計方
2009-05-08 17:17:4536

非合作源雷達(dá)目標(biāo)檢測子系統(tǒng)設(shè)計

設(shè)計了一種基于多DSP的非合作源雷達(dá)目標(biāo)檢測系統(tǒng),簡要介紹了DSP芯片TMS320C6416和C6701的性能,詳細(xì)討論了基于多DSP芯片的高速并行數(shù)字信號處理系統(tǒng)的結(jié)構(gòu)和設(shè)計考慮。
2009-05-09 11:24:4612

一種基于DSP和FPGA雷達(dá)信號分選電路設(shè)計

設(shè)計了一種基于DSP 和FPGA雷達(dá)信號分選電路,對密集的雷達(dá)信號進(jìn)行分選識別。系統(tǒng)利用FPGA 采集信號的特征參數(shù)以及對參數(shù)進(jìn)行預(yù)處理;采用了累積差值直方圖算法,根據(jù)信號
2009-07-16 10:52:2526

基于相控陣的脈沖多普勒雷達(dá)信號處理板的設(shè)計實現(xiàn)

本文研究了一種相控陣脈沖多普勒雷達(dá)信號處理板的設(shè)計及實現(xiàn)。設(shè)計基于ADSP21161N組成多處理器系統(tǒng),具有針對相控陣的多通道處理能力,可實時實現(xiàn)脈沖多普勒雷達(dá)信號處理中視
2010-01-12 21:39:4448

基于隱馬爾可夫模型的MIMO雷達(dá)目標(biāo)檢測

MIMO雷達(dá)一種新體制雷達(dá),相對于傳統(tǒng)雷達(dá)目標(biāo)檢測及參數(shù)估計性能都有很大提高。本文針對MIMO雷達(dá)的發(fā)射信號特點及天線陣元布置特點,分析了雷達(dá)目標(biāo)和雜波的散射特點
2010-09-16 15:17:258

FPGA雷達(dá)信號模擬器中的應(yīng)用

基于FPGA的各種雷達(dá)信號產(chǎn)生方法,介紹了在FPGA實現(xiàn)直接數(shù)字頻率合成器(DDS)以及提高輸出信號質(zhì)量的方法,編程實現(xiàn)了頻率捷變、線性調(diào)頻以及相位編碼等雷達(dá)信號的產(chǎn)生。仿真
2010-11-29 18:02:4931

雷達(dá)目標(biāo)處理系統(tǒng)指標(biāo)分析

【摘 要】 從雷達(dá)對運(yùn)動目標(biāo)檢測和顯示能力出發(fā),探討了影響雷達(dá)目標(biāo)改善因子提高的約束條件,分析了動目標(biāo)處理系統(tǒng)實現(xiàn)的可能性。通過計算機(jī)仿真計算驗證,為系統(tǒng)
2009-05-16 19:33:591742

基于FPGA的毫米波多目標(biāo)信號形成技術(shù)的研究

摘要: 毫米波多目標(biāo)信號形成是實現(xiàn)毫米波雷達(dá)模擬器的關(guān)鍵技術(shù),要求目標(biāo)分辨精度高、時延差值達(dá)ns級是其顯著特點。介紹一種基于可編程邏輯器件FPGA的多目
2009-06-20 15:31:59652

基于DSP和FPGA技術(shù)的低信噪比雷達(dá)信號檢測

基于DSP和FPGA技術(shù)的低信噪比雷達(dá)信號檢測 我國目前的海事雷達(dá)大多為進(jìn)口雷達(dá),有效探測距離小,在信噪比降為3 dB時已經(jīng)無法識別信號。隨著微電子技術(shù)的迅猛發(fā)展,高速
2009-11-05 10:33:24496

利用FPGA和DSP結(jié)合實現(xiàn)雷達(dá)目標(biāo)實時檢測

摘要: 在高速并行流水信號處理中,ASIC(FPGA)+DSP+RAM是目前國際流行的一種方式,尤其是FPGA+DSP+RAM更適合中國的國情.本文利用FPGA的算術(shù)邏輯單元與外部存儲器相結(jié)合,解決了線路板面積有限與雷達(dá)數(shù)據(jù)處理需要大量存儲空間的矛盾;利用FPGA的并行流水特點解決了
2011-02-27 16:00:2683

基于FPGA和DSP的雷達(dá)模目信號設(shè)計

本文介紹了一種模目信號設(shè)計方法,利用FPGA產(chǎn)生時序及控制,DSP實時計算所需要的回波,從而實現(xiàn)雷達(dá)目標(biāo)回波的模擬,這樣可以在沒有陣面數(shù)據(jù)的情況下,使信號處理分系統(tǒng)調(diào)試能
2011-07-05 09:46:271111

基于FPGA雷達(dá)數(shù)字信號處理機(jī)設(shè)計

本文提出了一種基于FPGA雷達(dá)數(shù)字信號處理機(jī)設(shè)計,接收機(jī)采用了脈沖多普勒、數(shù)字波束形成等主流雷達(dá)技術(shù)。
2012-03-31 09:53:132559

雷達(dá)目標(biāo)信號模擬器的設(shè)計與實現(xiàn)

為滿足雷達(dá)數(shù)據(jù)處理系統(tǒng)目標(biāo)跟蹤算法的測試需求,介紹了一種基于USB和FPGA技術(shù)的雷達(dá)目標(biāo)信號模擬器設(shè)計方案。文中重點討論了模擬器的結(jié)構(gòu)和目標(biāo)數(shù)據(jù)形成、傳輸、存儲、信號波形
2013-09-02 14:41:0076

基于FPGA+PC104的雷達(dá)目標(biāo)模擬器設(shè)計

介紹了一種基于PC104與FPGA構(gòu)成的嵌入式系統(tǒng)來模擬雷達(dá)回波信號的方法。給出了以FPGA為核心采集雷達(dá)參數(shù)以及產(chǎn)生雷達(dá)目標(biāo)和干擾信號的硬件實現(xiàn)方法,分析了通過PC104產(chǎn)生理論航跡和
2013-09-25 17:32:3463

基于FPGA雷達(dá)信號處理系統(tǒng)設(shè)計

基于FPGA雷達(dá)信號處理系統(tǒng)設(shè)計的論文
2015-10-30 10:38:126

雷達(dá)信號處理基礎(chǔ)_部分1

本書譯自國際著名雷達(dá)信號處理專家Mark A. Richards教授編寫的教科書。該書介紹了雷達(dá)系統(tǒng)與信號處理的基本理論和方法,主要內(nèi)容包括:雷達(dá)系統(tǒng)導(dǎo)論、雷達(dá)信號模型、脈沖雷達(dá)信號的采樣和量化
2016-04-05 14:20:3525

雷達(dá)信號處理基礎(chǔ)_部分2

本書譯自國際著名雷達(dá)信號處理專家Mark A. Richards教授編寫的教科書。該書介紹了雷達(dá)系統(tǒng)與信號處理的基本理論和方法,主要內(nèi)容包括:雷達(dá)系統(tǒng)導(dǎo)論、雷達(dá)信號模型、脈沖雷達(dá)信號的采樣和量化
2016-04-05 14:23:2618

雷達(dá)信號處理基礎(chǔ)_部分3

本書譯自國際著名雷達(dá)信號處理專家Mark A. Richards教授編寫的教科書。該書介紹了雷達(dá)系統(tǒng)與信號處理的基本理論和方法,主要內(nèi)容包括:雷達(dá)系統(tǒng)導(dǎo)論、雷達(dá)信號模型、脈沖雷達(dá)信號的采樣和量化
2016-04-05 14:30:3825

一種機(jī)載脈沖多普勒雷達(dá)目標(biāo)點跡處理方法

一種機(jī)載脈沖多普勒雷達(dá)目標(biāo)點跡處理方法,下來看看
2016-12-23 02:40:5713

一種全數(shù)字雷達(dá)目標(biāo)接收系統(tǒng)的設(shè)計與實現(xiàn)

一種全數(shù)字雷達(dá)目標(biāo)接收系統(tǒng)的設(shè)計與實現(xiàn)
2017-01-17 19:54:2413

基于DSP_FPGA的LFMCW雷達(dá)測距信號處理系統(tǒng)設(shè)計_陳林軍

基于DSP_FPGA的LFMCW雷達(dá)測距信號處理系統(tǒng)設(shè)計_陳林軍
2017-03-19 19:07:174

基于Cortex_A8和FPGA的嵌入系統(tǒng)在雷達(dá)信號處理中的應(yīng)用

基于Cortex_A8和FPGA的嵌入系統(tǒng)在雷達(dá)信號處理中的應(yīng)用
2017-10-26 08:27:503

一種基于FPGA嵌入式系統(tǒng)的雷達(dá)信號模擬器的實現(xiàn)

提出了一種基于FPGA雷達(dá)回波實時模擬器的實現(xiàn)方法。該模擬器采用cPCI 標(biāo)準(zhǔn)總線,以FPGA 為核心計算單元,配有高速數(shù)模、模數(shù)轉(zhuǎn)換模塊,可實現(xiàn)雷達(dá)回波信號實時在線注入模擬。該模擬器可實現(xiàn)多種
2017-11-18 13:00:012444

基于FPGA雷達(dá)信號采集系統(tǒng)設(shè)計

近年來,雷達(dá)在軍用和民用領(lǐng)域都獲得了巨大的發(fā)展。雷達(dá)信號處理系統(tǒng)是雷達(dá)的關(guān)鍵模塊,對雷達(dá)定位精度起著決定性作用。FPGA 以其眾多的優(yōu)點,在雷達(dá)信號處理系統(tǒng)中被廣泛使用。本文探究FPGA雷達(dá)信號
2017-11-22 07:25:024251

怎么樣使用微弱信號檢測和跟蹤實現(xiàn)雷達(dá)信號處理的研究設(shè)計

雷達(dá)信號處理中,通??梢匝娱L積累時間以增加實際應(yīng)用的能量,達(dá)到降低信號信噪比要求的目的。隨著積累時間延長,特別是當(dāng)目標(biāo)進(jìn)行變速、轉(zhuǎn)彎等機(jī)動飛行時,目標(biāo)的多普勒回波是時變的,不再能看作平穩(wěn)信號,傳統(tǒng)
2020-03-19 16:39:328

雷達(dá)信號處理FPGA還是GPU?

FPGA和CPU一直是雷達(dá)信號處理不可分割的組成部分。傳統(tǒng)上FPGA用于前端處理,CPU用于后端處理。隨著雷達(dá)系統(tǒng)的處理能力越來越強(qiáng),越來越復(fù)雜,對信息處理的需求也急劇增長。為此,FPGA不斷在提高處理
2022-12-14 11:46:091268

一文解析雷達(dá)回波信號檢測技術(shù)

雷達(dá)回波信號有兩個狀態(tài):有目標(biāo)和沒有目標(biāo)。雷達(dá)接收的回波中,既可能有目標(biāo)回波也存在噪聲和雜波等各種干擾信號。所以雷達(dá)目標(biāo)回波信號檢測是在噪聲和雜波干擾背景中的二元信號最佳檢測問題。
2023-03-19 11:41:302365

一種基于FPGA實現(xiàn)的800G信號處理平臺設(shè)計

一種基于FPGA 實現(xiàn)的800G信號處理平臺
2023-07-31 10:23:11376

AI驅(qū)動的雷達(dá)目標(biāo)檢測:前沿技術(shù)與實現(xiàn)策略

傳統(tǒng)的雷達(dá)目標(biāo)檢測方法,主要圍繞雷達(dá)回波信號的統(tǒng)計特性進(jìn)行建模,進(jìn)而在噪聲和雜波的背景下對目標(biāo)存在與否進(jìn)行判決,常用的典型算法如似然比檢測(LRT)、檢測前跟蹤(TBD)以及恒虛警(CFAR)等。
2024-03-01 12:26:06180

已全部加載完成