電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>光電顯示>利用可編程器件設(shè)計(jì)車(chē)用顯示系統(tǒng)的方案

利用可編程器件設(shè)計(jì)車(chē)用顯示系統(tǒng)的方案

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴

評(píng)論

查看更多

相關(guān)推薦

五大優(yōu)勢(shì)凸顯 可編程邏輯或?qū)⒊尸F(xiàn)快速增長(zhǎng)

可編程邏輯器件的兩種類(lèi)型是現(xiàn)場(chǎng)可編程門(mén)陣列(FPGA)和復(fù)雜可編程邏輯器件(CPLD)。在這兩類(lèi)可編程邏輯器件中,F(xiàn)PGA是在PAL、GAL、EPLD等可編程器件的基礎(chǔ)上進(jìn)一步發(fā)展的產(chǎn)物。
2016-01-04 17:55:081404

手機(jī)應(yīng)用中的可編程片上系統(tǒng)器件

可編程片上系統(tǒng)(PSoC)是由MCU與可編程邏輯和高性能模數(shù)轉(zhuǎn)換功能以及常用固定功能外設(shè)組合而成。此外,這些器件還集成有閃存、SRAM及EEPROM.
2016-12-28 11:26:071080

利用可編程器件CPLD/FPGA實(shí)現(xiàn)VGA圖像控制器的設(shè)計(jì)方案

利用可編程器件CPLD/FPGA實(shí)現(xiàn)VGA彩色顯示控制器在工業(yè)現(xiàn)場(chǎng)中有許多實(shí)際應(yīng)用。以硬件描述語(yǔ)言VHDL對(duì)可編程器件進(jìn)行功能模塊設(shè)計(jì)、仿真綜合,可實(shí)現(xiàn)VGA顯示控制器顯示各種圖形、圖像、文字,并實(shí)現(xiàn)了動(dòng)畫(huà)效果。
2020-08-30 12:03:59882

利用可編程電源平衡輸入紋波電流的解決方案

利用可編程電源平衡輸入紋波電流的解決方案
2012-08-20 16:36:40

利用可編程邏輯器件實(shí)現(xiàn)靈活的電源管理

的電源管理需求,因而使電路板設(shè)計(jì)變得低效、昂貴、還常常需要性能折衷。 本文針對(duì)一些復(fù)雜的電源管理問(wèn)題提出了一個(gè)設(shè)計(jì)方案:采用可編程、混合訊號(hào)電源管理組件。設(shè)計(jì)師可對(duì)’電源管理PLD’進(jìn)行標(biāo)準(zhǔn)化并在整個(gè)系統(tǒng)電路板上采用該組件,因而降低了成本、增加了可靠性并加快了產(chǎn)品上市時(shí)間。
2019-08-21 08:30:00

可編程模擬器件在可重構(gòu)結(jié)構(gòu)中的應(yīng)用設(shè)計(jì)有哪些?

什么是可編程模擬器件?如何去實(shí)現(xiàn)相位檢測(cè)器?實(shí)現(xiàn)可編程ADC有哪些方法?
2021-04-28 06:50:09

可編程模擬的各種架構(gòu)

作者:Ron Wilson要點(diǎn)經(jīng)濟(jì)形勢(shì)刺激了對(duì)可編程模擬元件的興趣。不存在將可編程模擬芯片用于一個(gè)系統(tǒng)的設(shè)計(jì)流程。設(shè)計(jì)流取決于供應(yīng)商為理解芯片而提供的隱喻(metaphor)。今后,這些設(shè)計(jì)流也許
2019-07-05 07:51:19

可編程邏輯簡(jiǎn)介

什么是可編程邏輯?  在數(shù)字電子系統(tǒng)領(lǐng)域,存在三種基本的器件類(lèi)型:存儲(chǔ)器、微處理器和邏輯器件。存儲(chǔ)器用來(lái)存儲(chǔ)隨機(jī)信息,如數(shù)據(jù)表或數(shù)據(jù)庫(kù)的內(nèi)容。微處理器執(zhí)行軟件指令來(lái)完成范圍廣泛的任務(wù),如運(yùn)行字處理
2019-07-10 08:16:49

可編程器件編程原理是什么?

可編程器件編程原理是什么?指令集對(duì)CPU的意義是什么?
2021-11-30 07:39:47

可編程邏輯器件

可編程邏輯器件到底是干什么的呢,簡(jiǎn)單的說(shuō),就是通過(guò)重新寫(xiě)程序,重新注入到這個(gè)器件中達(dá)到實(shí)現(xiàn)其它的功能。最常見(jiàn)的當(dāng)屬電腦了。電腦本身除了加法,減法和簡(jiǎn)單的邏輯運(yùn)算四種。比如要是想實(shí)現(xiàn)一個(gè)功能讓電腦
2014-04-15 10:02:54

可編程邏輯器件發(fā)展歷史

)幾個(gè)發(fā)展階段,其中 CPLD/FPGA 屬高密度可編程邏輯器件,CPLD 和 FPGA 是 80年代中后期出現(xiàn)的,其特點(diǎn)是具有用戶可編程的特性。 利用 CPLD/FPGA,電子系統(tǒng)設(shè)計(jì)工程師可以在實(shí)驗(yàn)室
2019-02-26 10:08:08

可編程邏輯器件是如何發(fā)展的?

可編程邏輯器件是如何發(fā)展的?
2021-04-29 06:23:22

ARM可編程器件的原理是什么?其運(yùn)行過(guò)程是怎樣的

一、可編程器件的特點(diǎn) 1、CPU在固定頻率的時(shí)鐘控制下節(jié)奏運(yùn)行。 2、CPU可以通過(guò)總線讀取外部存儲(chǔ)設(shè)備中二進(jìn)制指令集,然后解碼執(zhí)行。 3、這些可以被CPU解碼執(zhí)行的二進(jìn)制指令集是CPU設(shè)計(jì)的時(shí)候
2022-05-23 15:37:50

FPGA可編程器件和CPLD可編程器件有哪些相同點(diǎn)和不同點(diǎn)

CPLD是什么?FPGA包含哪幾類(lèi)可編程資源呢?FPGA可編程器件和CPLD可編程器件有哪些相同點(diǎn)和不同點(diǎn)?
2021-11-10 07:42:51

PLD可編程邏輯器件

,足以滿足設(shè)計(jì)一般的數(shù)字系統(tǒng)的需要。目前常用EEPROM,CPLD,F(xiàn)PGA。 PLA,PAL,GAL是早期的可編程器件,已經(jīng)淘汰。可編程邏輯器件PLD(Programmable Logic Dev...
2021-07-22 09:05:48

PSoC Creator如何簡(jiǎn)化可編程器件上的軟硬件協(xié)同設(shè)計(jì)?

PSoC Creator簡(jiǎn)化可編程器件上的軟硬件協(xié)同設(shè)計(jì)
2021-02-23 06:50:24

專(zhuān)家都是如何使用超低功耗的復(fù)雜可編程邏輯器件(CPLD)的?

專(zhuān)家都是如何使用超低功耗的復(fù)雜可編程邏輯器件(CPLD)的?從他們的嵌入式設(shè)計(jì)中的I/O子系統(tǒng)中學(xué)到了什么?
2021-04-08 06:31:20

什么是可編程片上系統(tǒng)?有什么優(yōu)缺點(diǎn)?

什么是可編程片上系統(tǒng)?有什么優(yōu)缺點(diǎn)?
2021-06-21 07:50:51

什么是可編程邏輯

處理程序或視頻游戲。邏輯器件提供特定的功能,包括器件器件間的接口、數(shù)據(jù)通信、信號(hào)處理、數(shù)據(jù)顯示、定時(shí)和控制操作、以及系統(tǒng)運(yùn)行所需要的所有其它功能。 固定邏輯與可編程邏輯??邏輯器件可分類(lèi)兩大類(lèi)
2009-05-29 11:36:21

什么是可編程邏輯控制器?可編程邏輯控制器有哪些特點(diǎn)?

什么是可編程邏輯控制器?可編程邏輯控制器主要有哪些特點(diǎn)?可編程邏輯控制器主要有哪些應(yīng)用領(lǐng)域?
2021-07-05 06:00:06

關(guān)于可編程器件你想知道的都在這

本文詳細(xì)介紹了可編程器件、可重編程器件和可重配置器件的基本概念,它對(duì)正確選擇器件很有必要。
2021-04-29 06:29:09

分享一款不錯(cuò)的基于可編程邏輯器件PLD的數(shù)字電路設(shè)計(jì)方案

分享一款不錯(cuò)的基于可編程邏輯器件PLD的數(shù)字電路設(shè)計(jì)方案
2021-04-30 06:34:54

基于可編程模擬器件的小信號(hào)測(cè)量系統(tǒng)應(yīng)用

1 引 言   在系統(tǒng)可編程模擬電路(In System ProgrammabilityProgrammable Analog Circuits,ispPAC)是可編程模擬器件的一種,其內(nèi)部有可編程
2019-07-02 07:06:27

基于EDA技術(shù)的可編程邏輯器件在數(shù)字信號(hào)處理系統(tǒng)中的應(yīng)用

摘要:介紹了可編程邏輯器件在數(shù)字信號(hào)處理系統(tǒng)中的應(yīng)用。并運(yùn)用VHDL語(yǔ)言對(duì)采用Lattice公司的ispLSI1032E可編程邏輯器件所構(gòu)成的乘法器的結(jié)構(gòu)、原理及各位加法器的VHDL作了詳細(xì)的描述
2019-06-28 06:14:11

基于ISP芯片的可編程數(shù)字移相器設(shè)計(jì)

、CMOS系列)按傳統(tǒng)數(shù)字系統(tǒng)設(shè)計(jì)方法設(shè)計(jì)而成,其主要缺點(diǎn)是邏輯規(guī)模小、功耗大、可靠性低。本文介紹一種基于在系統(tǒng)可編程邏輯器件實(shí)現(xiàn)的新型可編程數(shù)字移相器的設(shè)計(jì)方案,該移相器移相范圍為0~360°,分辨率為1°,它可以方便地和微處理機(jī)及其它設(shè)備聯(lián)接,以構(gòu)成自動(dòng)化同步檢測(cè)器數(shù)據(jù)處理系統(tǒng)
2019-07-09 06:55:53

如何利用FPGA設(shè)計(jì)可編程電壓源系統(tǒng)?

性能好,規(guī)模大,可重復(fù)編程,開(kāi)發(fā)投資小等優(yōu)點(diǎn)。隨著微電子技術(shù)的發(fā)展,F(xiàn)PGA的成本不斷下降,正逐漸成為各種電子產(chǎn)品不可或缺的重要部件。由于FPGA有著如此眾多的優(yōu)點(diǎn),因此系統(tǒng)采用FPGA作為控制芯片,實(shí)現(xiàn)可編程電壓源系統(tǒng),為需要可調(diào)電壓源的電子產(chǎn)品提供高精度、高可靠性的電壓。但是,誰(shuí)知道我們具體該怎么做嗎?
2019-08-07 08:03:09

如何利用Lattice公司的可編程器件設(shè)計(jì)車(chē)顯示系統(tǒng)?

本文將主要介紹如何利用Lattice公司的可編程器件設(shè)計(jì)車(chē)顯示系統(tǒng)。
2021-05-17 06:09:40

如何更有效地使用可編程時(shí)鐘器件

如何更有效地使用可編程時(shí)鐘器件?設(shè)計(jì)SERDES參考時(shí)鐘源面臨的挑戰(zhàn)有哪些?如何去實(shí)現(xiàn)XAUI參考時(shí)鐘源?
2021-04-20 06:03:53

如何設(shè)計(jì)基于FPGA的可編程電壓源系統(tǒng)?

可編程電源指某些功能或參數(shù)可以通過(guò)計(jì)算機(jī)軟件編程進(jìn)行控制的電源。可編程電源的實(shí)現(xiàn)方法有很多種。其中,現(xiàn)場(chǎng)可編程門(mén)陣列(Field ProgrammableGate Array,F(xiàn)PGA)具有性能好,規(guī)模大,可重復(fù)編程,開(kāi)發(fā)投資小等優(yōu)點(diǎn)。
2019-11-04 06:26:07

如何通過(guò)ARM對(duì)可編程器件進(jìn)行配置?

通過(guò)ARM對(duì)可編程器件進(jìn)行配置的的設(shè)計(jì)和實(shí)現(xiàn)
2021-04-13 06:20:21

如何采用FPGA設(shè)計(jì)可編程電壓源系統(tǒng)?

如何采用FPGA設(shè)計(jì)可編程電壓源系統(tǒng)?
2021-04-29 06:12:52

怎么利用FPGA設(shè)計(jì)可編程電壓源系統(tǒng)?

性能好,規(guī)模大,可重復(fù)編程,開(kāi)發(fā)投資小等優(yōu)點(diǎn)。隨著微電子技術(shù)的發(fā)展,F(xiàn)PGA的成本不斷下降,正逐漸成為各種電子產(chǎn)品不可或缺的重要部件。由于FPGA有著如此眾多的優(yōu)點(diǎn),因此系統(tǒng)采用FPGA作為控制芯片,實(shí)現(xiàn)可編程電壓源系統(tǒng),為需要可調(diào)電壓源的電子產(chǎn)品提供高精度、高可靠性的電壓。
2019-08-19 06:54:51

怎么采用可編程邏輯器件設(shè)計(jì)數(shù)字系統(tǒng)?

本文以乘法器的設(shè)計(jì)為例,來(lái)說(shuō)明采用可編程邏輯器件設(shè)計(jì)數(shù)字系統(tǒng)的方法。
2021-04-29 06:22:10

怎樣去設(shè)計(jì)可編程無(wú)線遙控多通道開(kāi)關(guān)系統(tǒng)?

可編程無(wú)線遙控多通道開(kāi)關(guān)系統(tǒng)的工作原理是什么?可編程無(wú)線遙控多通道開(kāi)關(guān)系統(tǒng)是由哪些部分組成的?怎樣去設(shè)計(jì)可編程無(wú)線遙控多通道開(kāi)關(guān)系統(tǒng)
2021-05-20 06:12:57

有什么方法可以設(shè)計(jì)車(chē)顯示系統(tǒng)嗎?

如何利用可編程器件去設(shè)計(jì)車(chē)顯示系統(tǒng)?
2021-05-12 06:37:03

求一款可編程嵌入式系統(tǒng)的設(shè)計(jì)方案

為什么要設(shè)計(jì)一種可編程嵌入式系統(tǒng)?基于Java的可編程嵌入式系統(tǒng)是如何實(shí)現(xiàn)的?
2021-04-27 07:20:32

求一種可利用復(fù)雜可編程邏輯器件設(shè)計(jì)技術(shù)實(shí)現(xiàn)的專(zhuān)用鍵盤(pán)接口芯片方案

本文提出一種利用復(fù)雜可編程邏輯器件(Complex Programmable Logic Device,CPLD)設(shè)計(jì)技術(shù)[3]實(shí)現(xiàn)專(zhuān)用鍵盤(pán)接口芯片的方案
2021-04-15 06:55:36

求一種基于復(fù)雜可編程邏輯器件的硬件校正實(shí)現(xiàn)方案

為實(shí)現(xiàn)多點(diǎn)校正法,筆者設(shè)計(jì)了基于復(fù)雜可編程邏輯器件的硬件校正實(shí)現(xiàn)方案,實(shí)驗(yàn)表明,該校正系統(tǒng)可將圖像傳感器CL512J的非均勻度由40%校正到2%. 因此,多點(diǎn)校正法及其實(shí)現(xiàn)系統(tǒng)能在不提高制造工藝和進(jìn)一步研究光敏元結(jié)構(gòu)的基礎(chǔ)上,有效地降低圖像傳感器的非均勻性,獲得較為理想的圖像質(zhì)量。
2021-04-28 06:05:34

求一種新型系統(tǒng)級(jí)可編程芯片的設(shè)計(jì)方案

求一種新型系統(tǒng)級(jí)可編程芯片的設(shè)計(jì)方案
2021-05-06 07:44:32

現(xiàn)場(chǎng)可編程門(mén)陣列有哪些應(yīng)用?

現(xiàn)場(chǎng)可編程門(mén)陣列(FPGA)是一種可編程邏輯器件,由成千上萬(wàn)個(gè)完全相同的可編程邏輯單元組成,周?chē)禽斎?輸出單元構(gòu)成的外設(shè)。制造完成后,F(xiàn)PGA可以在工作現(xiàn)場(chǎng)編程,以便實(shí)現(xiàn)特定的設(shè)計(jì)功能。典型設(shè)計(jì)工
2019-08-06 08:27:36

請(qǐng)教器件可編程的基本概念

RAM就可以改變可編程器件的邏輯。可編程器件的LE中,其輸入陣列中是不是列和行都是實(shí)際導(dǎo)線,根據(jù)具體的需要把需要的節(jié)點(diǎn)‘焊接'在一起。忘指點(diǎn)。謝謝。
2013-07-13 17:06:40

請(qǐng)問(wèn)如何去設(shè)計(jì)可編程器件輔助軟件?

HAD輔助設(shè)計(jì)軟件有哪些功能?電路模塊HDL程序是怎樣生成的?管理電路單元庫(kù)程序的設(shè)計(jì)思路是怎樣的?請(qǐng)問(wèn)如何去設(shè)計(jì)可編程器件輔助軟件?
2021-04-14 06:21:42

請(qǐng)問(wèn)如何選擇PLC可編程邏輯器件?

如何選擇PLC可編程邏輯器件?
2021-04-27 06:39:03

超低功耗可編程系統(tǒng)器件PSD4000系列

PSD4000系列是Waferscale Integration公司新近推出的超低功耗可編程系統(tǒng)器件,它們可以為16位和32位的微控制器(包括以下一些主要廠商的產(chǎn)品,如Motorola、 Intel
2019-06-27 07:38:07

可編程邏輯器件設(shè)計(jì)

可編程邏輯器件設(shè)計(jì) (264頁(yè),nlc格式)
2006-03-25 16:41:0166

可編程器件與MAX+plusII簡(jiǎn)介

熟悉常用邏輯器件的分類(lèi);了解常用可編程邏輯器件的內(nèi)部結(jié)構(gòu);熟悉可編程邏輯器件的開(kāi)發(fā)流程;掌握MAX+plusII軟件。重點(diǎn):基于MAX+plusII軟件進(jìn)行PLD設(shè)計(jì)的流程。難點(diǎn):PLD的
2008-12-01 17:36:4519

復(fù)雜可編程器件在位移測(cè)量系統(tǒng)中的應(yīng)用

可編程邏輯器件是當(dāng)今IC 領(lǐng)域中發(fā)展迅速,應(yīng)用廣泛的器件之一。介紹了CPLD 在調(diào)頻式位移傳感器測(cè)量系統(tǒng)中的一種應(yīng)用. 新設(shè)計(jì)的傳感器接口電路,解決了利用現(xiàn)有的IC 器件進(jìn)行設(shè)計(jì)
2009-06-22 10:51:0312

可編程邏輯器件及其在DSP系統(tǒng)中的應(yīng)用

介紹了PLD(可編程邏輯器件) 的技術(shù)特點(diǎn),并針對(duì)當(dāng)前DSP 系統(tǒng)中串并轉(zhuǎn)換器選擇調(diào)試?yán)щy的特點(diǎn),提出利用PLD 開(kāi)發(fā)DSP 系統(tǒng)中高速串行到高速并行的數(shù)據(jù)轉(zhuǎn)換傳輸技術(shù),以簡(jiǎn)化電路及
2009-12-04 11:49:0417

可編程模擬器件原理與開(kāi)發(fā)

可編程模擬器件原理與開(kāi)發(fā)作者:趙曙光陳麗萍 殷延瑞 趙明英關(guān)鍵詞:可編程模擬器件,模擬集成電路,ASIC摘要:可編程模擬器件(Programmable Analog Device)是近年來(lái)嶄露頭
2010-02-06 17:05:2744

可編程邏輯器件基礎(chǔ)及應(yīng)用實(shí)驗(yàn)指導(dǎo)書(shū)

可編程邏輯器件基礎(chǔ)及應(yīng)用實(shí)驗(yàn)指導(dǎo)書(shū) 《可編程邏輯器件基礎(chǔ)及應(yīng)用》是一門(mén)側(cè)重掌握可編程邏輯器件的基本結(jié)構(gòu)和原理的課程。重點(diǎn)是使學(xué)生掌握基于可編程
2010-03-24 14:22:4629

單片機(jī)與可編程器件教程

單片機(jī)與可編程器件教程 本教程包括: 一.用AT89C52 和TLC1543實(shí)現(xiàn)數(shù)據(jù)采集系統(tǒng) 二.紅外學(xué)習(xí)機(jī)的設(shè)計(jì)原理及應(yīng)用江蘇張家港沙洲工學(xué)院 趙海蘭摘要 簡(jiǎn)單介紹
2010-04-14 14:38:4844

系統(tǒng)可編程器件在模擬電路中的應(yīng)用

介紹了在系統(tǒng)可編程技術(shù)的特點(diǎn),以及在系統(tǒng)可編程模擬器件的內(nèi)部結(jié)構(gòu)最后通過(guò)一個(gè)有源濾波囂的設(shè)計(jì)實(shí)例介紹了在系統(tǒng)可縞程器件在模擬電路中的應(yīng)用.關(guān)鍵詞模擬電路
2010-04-25 10:08:5714

系統(tǒng)可編程模擬器件ISPPAC20及其應(yīng)用

摘要:可編程器件已有很久的發(fā)展歷史,除了它體積小、容量大、I/O口豐富、易編程和加密等優(yōu)點(diǎn)外,更突出的特點(diǎn)是其芯片的在系統(tǒng)可編程技術(shù)。也就是說(shuō)它不但具有可編程和可
2010-07-12 10:04:4732

基于可編程器件的任意進(jìn)制計(jì)數(shù)器的設(shè)計(jì)

采用可編程器件設(shè)計(jì)電路,利用MAX+plus II設(shè)計(jì)軟件中LPM元件庫(kù)所提供的lpm_counter元件,實(shí)現(xiàn)任意進(jìn)制計(jì)數(shù)器的設(shè)計(jì)。該計(jì)數(shù)器電路與結(jié)構(gòu)無(wú)關(guān),可編程器件的芯片利用率及效率達(dá)到最
2010-12-29 17:47:0755

采用可編程邏輯器件器件設(shè)計(jì)可變格式和可變速率的通信數(shù)字信號(hào)源

 摘要:采用Lattice公司的在系統(tǒng)可編程器件pLSI/ispLSI1016,設(shè)計(jì)出一個(gè)具有可變格式、可變長(zhǎng)度和可變
2006-05-26 21:52:53640

可編程器件在雷達(dá)數(shù)據(jù)處理中的應(yīng)用

 介紹了新型可編程邏緝器件CPLD的結(jié)構(gòu)、特點(diǎn)及在雷達(dá)數(shù)據(jù)處理電路中的應(yīng)用,著重介紹了四種具體電路的CPLD實(shí)現(xiàn)方法。    關(guān)
2009-05-10 13:27:311345

什么是PLD(可編程邏輯器件)

什么是PLD(可編程邏輯器件) PLD是可編程邏輯器件(Programable Logic Device)的簡(jiǎn)稱(chēng),F(xiàn)PGA是現(xiàn)場(chǎng)可編程門(mén)陣列(Field Programable Gate Array)
2009-06-20 10:32:3214283

可編程模擬器件原理與開(kāi)發(fā)

摘要:介紹了可編程模擬器件的基本原理和開(kāi)發(fā)流程。列舉了主流器件系列,并說(shuō)明其核心技術(shù)。展望了可編程模擬器件的發(fā)展前景。 關(guān)鍵詞:
2009-06-20 11:47:341164

ispPAC10在系統(tǒng)可編程模擬器及其應(yīng)用

摘要:ispPAC10是美國(guó)Lattice公司最新推出的模擬電路在系統(tǒng)可編程器件,它為電子電路設(shè)計(jì)者進(jìn)行電路設(shè)計(jì)提供了一條有效的新途徑。文中介紹了ispPAC模擬電路在系統(tǒng)可編程器件
2009-06-20 11:49:261289

Lattice公司的系統(tǒng)內(nèi)可編程PLD

摘要:本文以Lattice公司的ispLSI系列器件為例,介紹了系統(tǒng)內(nèi)可編程(ISP)及其使用方法    關(guān)鍵詞:可編程邏輯器件(PLD)  數(shù)字系統(tǒng)  ispLSI
2009-06-20 11:50:321817

基于可編程邏輯器件的數(shù)字電路設(shè)計(jì)

基于可編程邏輯器件的數(shù)字電路設(shè)計(jì)  0 引 言   可編程邏輯器件PLD(Programmable Logic De-vice)是一種數(shù)字電路,它可以由用戶來(lái)進(jìn)行編程和進(jìn)行配置,利用它可以
2009-11-16 10:46:411473

可編程SoC(SoPC),什么是可編程SoC(SoPC)

可編程SoC(SoPC),什么是可編程SoC(SoPC) SOPC ( System on a Programmable Chip,片上可編程系統(tǒng))是以PLD(可編程邏輯器件)取代ASIC(專(zhuān)用集成電路),更
2010-03-26 17:01:352336

可編程ASIC器件主從式結(jié)構(gòu)開(kāi)發(fā)系統(tǒng)的設(shè)計(jì)

1 引言 當(dāng)前在EDA領(lǐng)域,只要具備臺(tái)式或筆記本電腦并裝有工具軟件,就可以方便地對(duì)可編程ASIC(CPLD/FPGA)進(jìn)行設(shè)計(jì)開(kāi)發(fā),在系統(tǒng)可編程(ISP)器件為我們提供了這種便利條件。IS
2010-07-15 10:36:02616

Altera發(fā)布光纖互連可編程器件

Altera公司日前發(fā)布其光纖互連可編程器件規(guī)劃。收發(fā)器是業(yè)界發(fā)展的關(guān)鍵,因此,Altera發(fā)揮在這一領(lǐng)域的技術(shù)領(lǐng)先優(yōu)勢(shì),將這一遠(yuǎn)景展望變?yōu)楝F(xiàn)實(shí)
2011-03-31 09:28:38746

CYPRESS PSoC(可編程片上系統(tǒng))混合信號(hào)陣列

片內(nèi)可編程無(wú)線電模塊(PRoC)系列由多個(gè)混合信號(hào)陣列通過(guò)片內(nèi)控制器件相連組成。這些器件設(shè)計(jì)用于以單一低成本單芯片可編程器件替換多個(gè)傳統(tǒng)微控制器系統(tǒng)元件。PSoC裝置包括模擬與
2011-04-01 13:59:3040

可編程硅蕩器SITIME

可編程有源晶振的制造方式及其優(yōu)點(diǎn): 可編程有源晶振是由兩顆芯片;一為全硅MEMS諧振器,一為具有溫補(bǔ)功能之啟動(dòng)電路鎖相環(huán)CMOS芯片;利用標(biāo)準(zhǔn)半導(dǎo)體芯片MCM封裝方式完成。 可編程
2011-06-08 18:04:421689

EDA技術(shù)與應(yīng)用(可編程邏輯器件)

7.1 可編程邏輯器件的基本原理 7.2 可編程邏輯器件的設(shè)計(jì)技術(shù) 7.3 可編程邏輯器件編程與配置
2012-05-23 10:46:19142

Xilinx全可編程器件助力中興通訊Pre5G 3D/大規(guī)模MIMO基站

2015年3月3日,中國(guó)北京 - All Programmable 技術(shù)和器件的全球領(lǐng)先企業(yè)賽靈思公司(Xilinx, Inc. (NASDAQ:XLNX))今天宣布其全可編程器件將支持中興通訊的pre5G 3D/大規(guī)模MIMO基站。
2015-03-05 16:50:571053

可編程器件實(shí)現(xiàn)LED顯示屏的硬件掃描控制

可編程器件實(shí)現(xiàn)LED顯示屏的硬件掃描控制
2017-01-18 20:40:3321

一個(gè)DSP怪物的演化:具有大量DSP的UltraScale+ 和UItraScale全可編程器件

作者:Steve Leibson, 賽靈思戰(zhàn)略營(yíng)銷(xiāo)與業(yè)務(wù)規(guī)劃總監(jiān) 采用16nm工藝的Virtex UltraScale+全可編程器件的最多DSP數(shù)量是11904DSP48E2 slices。那是
2017-02-08 03:10:31363

可編程器件發(fā)展看FPGA未來(lái)趨勢(shì)

可編程邏輯器件的發(fā)展歷史可編程邏輯器件的發(fā)展可以劃分為4個(gè)階段,即從20世紀(jì)70年代初到70年代中為第1段,20世紀(jì)70年代中到80年代中為第2階段,20世紀(jì)80年代到90年代末為第3階段,20世紀(jì)90年代末到目前為第4階段。
2017-02-11 17:04:112026

可編程邏輯器件(書(shū)皮)

可編程邏輯器件(書(shū)皮)
2022-07-10 14:34:540

可編程器件緒論

可編程器件緒論
2017-09-19 15:40:117

PSoC 4 可編程片上系統(tǒng)

PSoC 4 可編程片上系統(tǒng)
2017-10-10 09:47:4611

可編程器件上運(yùn)行的56G PAM4收發(fā)器技術(shù)演示

在這段視頻中,我們將向您展示業(yè)界首款可編程器件上運(yùn)行的56G收發(fā)器。
2018-11-27 06:34:003517

電子技術(shù)基礎(chǔ)知識(shí)存儲(chǔ)器、復(fù)雜可編程器件和現(xiàn)場(chǎng)可編程門(mén)陣列的介紹

本文檔的詳細(xì)介紹的是電子技術(shù)基礎(chǔ)知識(shí)存儲(chǔ)器、復(fù)雜可編程器件和現(xiàn)場(chǎng)可編程門(mén)陣列的介紹主要內(nèi)容包括了: 1 只讀存儲(chǔ)器,2 隨機(jī)存取存儲(chǔ)器,3 復(fù)雜可編程邏輯器件,4 現(xiàn)場(chǎng)可編程門(mén)陣列,5 用EDA技術(shù)和可編程器件的設(shè)計(jì)例題
2019-02-22 08:00:0028

可編程邏輯器件的分類(lèi)有哪些

可編程邏輯器件(PLD)是20世紀(jì)70年代發(fā)展起來(lái)的一種新型邏輯器件,是目前數(shù)字系統(tǒng)設(shè)計(jì)的主要硬件基礎(chǔ)。根據(jù)可編程邏輯器件結(jié)構(gòu)、集成度以及編程工藝的不同,它存在以下不同的分類(lèi)方法。
2020-06-10 17:52:1926761

片上可編程系統(tǒng)原理及應(yīng)用

片上可編程系統(tǒng)SOPC是一種靈活、高效的SoC解決方案,而FPGA 是可編程再設(shè)計(jì)的“萬(wàn)能”芯片,F(xiàn)PGA是作為專(zhuān)用集成電路(ASIC)領(lǐng)域中的一種半定制電路而出現(xiàn)的,在硅片上預(yù)先設(shè)計(jì)實(shí)現(xiàn)的具有可編程特性的集成電路,未來(lái)的FPGA芯片密度不斷提高。
2021-10-01 09:07:001614

一文詳細(xì)了解可編程邏輯器件(PLD)

在過(guò)去的十年中,可編程邏輯器件(PLD)市場(chǎng)不斷增長(zhǎng),對(duì)PLD的需求不斷增加。具有可編程特性且可編程的芯片稱(chēng)為PLD。PLD也稱(chēng)為現(xiàn)場(chǎng)可編程器件(FPD)。FPD用于實(shí)現(xiàn)數(shù)字邏輯,用戶可以配置集成電路以實(shí)現(xiàn)不同的設(shè)計(jì)。這種集成電路的編程是通過(guò)使用EDA工具進(jìn)行特殊編程來(lái)完成的。
2022-03-22 12:36:245304

可編程邏輯器件EPLD是如何設(shè)計(jì)的

可編程邏輯器件(Electrically Programmable Logic Device,EPLD)是指采用電信號(hào)的可擦可編程邏輯器件。
2022-08-22 18:12:37935

可編程邏輯器件測(cè)試方法

門(mén)陣列 ( Field Programmable Gate Array, FPGA)器件等。隨著可編程器件的發(fā)展,可以將 CPU、DSP、ADC/DAC、存儲(chǔ)器等集成到一個(gè)可編程器件上,從而構(gòu)成可編程系統(tǒng)芯片 (System On Programmable Chip, SoPC),如圖所示。
2023-06-06 15:35:59659

可編程邏輯器件測(cè)試

可編程邏輯器件 (Programmable Loeie Device,PLD)是一種用戶編程實(shí)現(xiàn)某種邏輯功能的邏輯器件,主要由可編程的與陣列、或陣列、門(mén)陣列等組成,可通過(guò)編程來(lái)實(shí)現(xiàn)一定的邏輯功能。
2023-06-06 15:37:45404

什么叫可編程邏輯器件 可編程邏輯器件有哪些特征和優(yōu)勢(shì)?

可編程邏輯器件(Programmable Logic Device,PLD)是一類(lèi)集成電路器件,可以根據(jù)用戶的需求進(jìn)行編程和配置,以實(shí)現(xiàn)特定的邏輯功能。它們具有可編程的邏輯門(mén)、時(shí)鐘資源和互連結(jié)構(gòu),可以替代傳統(tǒng)的固定功能邏輯芯片,提供更靈活和可定制的解決方案。
2023-09-14 15:25:551107

簡(jiǎn)單認(rèn)識(shí)現(xiàn)場(chǎng)可編程門(mén)陣列

現(xiàn)場(chǎng)可編程門(mén)陣列 (Field Programmable Gate Array, FPGA)也稱(chēng)為現(xiàn)場(chǎng)可編程器件,是在 PROM ( Programmable Read Only Memory
2023-12-01 09:25:15318

可編程器件的特點(diǎn)和發(fā)展歷程

可編程器件(Programmable devices)是一種集成電路,可以在生產(chǎn)過(guò)程中通過(guò)編程改變其功能和性能。它們具有的特點(diǎn)和發(fā)展歷程可以追溯到20世紀(jì)60年代的早期靜態(tài)隨機(jī)存儲(chǔ)器(SRAM
2023-12-21 17:19:43299

已全部加載完成