電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>醫(yī)療電子>VHDL語言為核心的EDA技術(shù)在醫(yī)學(xué)中的應(yīng)用

VHDL語言為核心的EDA技術(shù)在醫(yī)學(xué)中的應(yīng)用

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴

評論

查看更多

相關(guān)推薦

VHDL語言EDA仿真中的應(yīng)用

EDA技術(shù)徹底改變了數(shù)字系統(tǒng)的設(shè)計方法和實現(xiàn)手段,借助于硬件描述語言的國際標(biāo)準(zhǔn)VHDL 和強大的EDA工具,可減少設(shè)計風(fēng)險并縮短周期,隨著VHDL語言使用范圍的日益擴大
2011-04-11 11:34:471842

EDA技術(shù)微機接口技術(shù)實驗教學(xué)的應(yīng)用

Xilinx公司XC95系列的XC95144PQ160,實驗使用VHDL設(shè)計語言,選用了XilinxISE7.1i作為實驗軟件。2 設(shè)計實例——鍵盤接口設(shè)計下面以鍵盤接口設(shè)計例,說明EDA技術(shù)微機接口技術(shù)
2008-06-25 09:53:51

EDA技術(shù)微機接口技術(shù)實驗教學(xué)的應(yīng)用

Xilinx公司XC95系列的XC95144PQ160,實驗使用VHDL設(shè)計語言,選用了XilinxISE7.1i作為實驗軟件。2 設(shè)計實例——鍵盤接口設(shè)計下面以鍵盤接口設(shè)計例,說明EDA技術(shù)微機接口技術(shù)
2018-12-04 10:38:40

EDA技術(shù)VHDL電路開發(fā)應(yīng)用實踐源文件

本帖最后由 xiaomi1991 于 2015-7-20 07:06 編輯 劉欲曉,黃宛寧出的書《EDA技術(shù)VHDL電路開發(fā)應(yīng)用實踐》源文件和課后答案
2015-07-20 07:03:46

EDA技術(shù)與FPGA設(shè)計應(yīng)用

automation,電子設(shè)計自動化)技術(shù)基于計算機輔助設(shè)計,它融合了應(yīng)用電子技術(shù)、計算機技術(shù)、信息處理技術(shù)、智能化技術(shù)的最新成果,以實現(xiàn)電子產(chǎn)品的自動設(shè)計。eda是現(xiàn)代電子設(shè)計技術(shù)核心,現(xiàn)代
2013-09-02 15:19:20

EDA技術(shù)與FPGA設(shè)計應(yīng)用

的自動設(shè)計。EDA是現(xiàn)代電子設(shè)計技術(shù)核心,現(xiàn)代集成電路設(shè)計占據(jù)重要地位。FPGA(Field Programmable Gate Array,現(xiàn)場可編程門陣列)作為可編程邏輯器件的典型代表,它的出現(xiàn)
2008-06-11 10:26:29

EDA技術(shù)與FPGA設(shè)計應(yīng)用

設(shè)計電子系統(tǒng),從電路設(shè)計、性能分析直到IC版圖或PCB版圖生成的全過程均可在計算機上自動完成?! ?b class="flag-6" style="color: red">EDA代表了當(dāng)今電子設(shè)計技術(shù)的最新發(fā)展方向,其基本特征是設(shè)計人員以計算機工具,按照自頂向下的設(shè)計方法,對整個
2008-06-26 16:16:11

EDA技術(shù)與FPGA設(shè)計應(yīng)用 (圖)

Automation,電子設(shè)計自動化)技術(shù)基于計算機輔助設(shè)計,它融合了應(yīng)用電子技術(shù)、計算機技術(shù)、信息處理技術(shù)、智能化技術(shù)的最新成果,以實現(xiàn)電子產(chǎn)品的自動設(shè)計。EDA是現(xiàn)代電子設(shè)計技術(shù)核心現(xiàn)代
2008-06-27 10:26:34

EDA技術(shù)與FPGA設(shè)計應(yīng)用的詳細(xì)闡述

摘 要:EDA技術(shù)是現(xiàn)代電子設(shè)計技術(shù)核心,它在現(xiàn)代集成電路設(shè)計占據(jù)重要地位。隨著深亞微米與超深亞微米技術(shù)的迅速發(fā)展,F(xiàn)PGA設(shè)計越來越多地采用基于VHDL的設(shè)計方法及先進的EDA工具。本文詳細(xì)
2019-06-18 07:33:04

EDA技術(shù)與FPGA設(shè)計應(yīng)用的詳細(xì)闡述

摘 要:EDA技術(shù)是現(xiàn)代電子設(shè)計技術(shù)核心,它在現(xiàn)代集成電路設(shè)計占據(jù)重要地位。隨著深亞微米與超深亞微米技術(shù)的迅速發(fā)展,F(xiàn)PGA設(shè)計越來越多地采用基于VHDL的設(shè)計方法及先進的EDA工具。本文詳細(xì)
2019-06-27 08:01:28

EDA技術(shù)從何而來?EDA技術(shù)發(fā)展歷程

出來的一整套電子系統(tǒng)設(shè)計的軟件工具。利用大規(guī)??删幊踢壿嬙O(shè)計數(shù)字系統(tǒng)的應(yīng)用,具體地講EDA技術(shù)就是以計算機工具,EDA軟件平臺上,利用硬件描述語言描述設(shè)計系統(tǒng),然后由EDA工具完成邏輯編譯
2019-02-21 09:41:58

EDA技術(shù)在數(shù)字系統(tǒng)設(shè)計分析的應(yīng)用

及調(diào)試需要硬件電路的支持,在一定程度上增加了功能修改及系統(tǒng)調(diào)試的困難。因此設(shè)計采用EDA技術(shù)VHDL硬件描述語言,以MAX+plusII開發(fā)環(huán)境進行綜合仿真,并下載到CPLD可編程邏輯器件
2008-06-26 10:13:58

EDA技術(shù)在數(shù)字系統(tǒng)設(shè)計分析的應(yīng)用

需要硬件電路的支持,在一定程度上增加了功能修改及系統(tǒng)調(diào)試的困難。因此設(shè)計采用EDA技術(shù)VHDL硬件描述語言,以MAX+plusII開發(fā)環(huán)境進行綜合仿真,并下載到CPLD可編程邏輯器件,完成
2008-06-24 13:47:25

EDA技術(shù)在數(shù)字系統(tǒng)設(shè)計分析的應(yīng)用

需要硬件電路的支持,在一定程度上增加了功能修改及系統(tǒng)調(diào)試的困難。因此設(shè)計采用EDA技術(shù)VHDL硬件描述語言,以MAX+plusII開發(fā)環(huán)境進行綜合仿真,并下載到CPLD可編程邏輯器件,完成
2008-06-12 10:01:04

EDA技術(shù)實用教程第4版VHDL課件

EDA技術(shù)實用教程第4版VHDL課件
2013-06-21 18:04:03

EDA技術(shù)是什么?EDA常用軟件有哪些

EDA技術(shù)是什么?EDA常用軟件有哪些?電子電路設(shè)計與仿真工具包括哪些呢?
2022-01-24 06:34:54

EDA技術(shù)有什么特征?

EDA代表了當(dāng)今電子設(shè)計技術(shù)的最新發(fā)展方向,它的基本特征是:設(shè)計人員按照“自頂向下”的設(shè)計方法,對整個系統(tǒng)進行方案設(shè)計和功能劃分,系統(tǒng)的關(guān)鍵電路用一片或幾片專用集成電路(ASIC)實現(xiàn),然后采用硬件
2019-10-08 14:25:32

EDA技術(shù)的發(fā)展,EDA技術(shù)的基本設(shè)計方法有哪些?

EDA技術(shù)的發(fā)展ESDA技術(shù)的基本特征是什么?EDA技術(shù)的基本設(shè)計方法有哪些?
2021-04-21 07:21:25

VHDL與其他傳統(tǒng)集成電路描述語言相比具有什么優(yōu)勢?

VHDL與其他傳統(tǒng)集成電路描述語言相比具有什么優(yōu)勢?VHDL語言核心EDA技術(shù)醫(yī)學(xué)的應(yīng)用
2021-05-07 06:38:41

VHDL與電子自動化

VHDL與電子自動化電子設(shè)計自動化(Electronic Design Automation , 簡稱EDA) 技術(shù)70 年代集成電路技術(shù)蓬勃發(fā)展誕生的, 它的發(fā)展是同集成電路的復(fù)雜度緊密相關(guān)
2009-12-04 10:43:36

VHDL特點是什么?EDA仿真中的應(yīng)用是什么?

VHDL特點是什么VHDL語言EDA仿真中的應(yīng)用
2021-04-29 06:01:15

eda 2 實驗

eda 2 9個實驗用VHDL語言
2013-06-18 22:40:54

eda技術(shù)

有哪位大神知道eda里的QUARTURE II軟件下的VHDL語言設(shè)計能生成電路圖嗎?
2016-05-12 18:35:50

vhdl實用教程pdf下載

vhdl實用教程pdf下載本書比較系統(tǒng)地介紹了VHDL的基本語言現(xiàn)象和實用技術(shù)。全書以實用和可操作為基點,介紹了VHDL基于EDA技術(shù)的理論與實踐方面的知識。包括VHDL語句語法基礎(chǔ)知識(第1章~第
2008-06-04 10:31:29

醫(yī)學(xué)研究的統(tǒng)計技術(shù)

; 綜上所述,統(tǒng)計技術(shù)我們解決醫(yī)學(xué)研究的問題時提供了一個新思路、新方法,而在應(yīng)用統(tǒng)計技術(shù)時,作為醫(yī)學(xué)工作者,不需要也沒有必要死記硬背一些繁雜的 數(shù)學(xué)公式和推導(dǎo)過程。至關(guān)重要的原則有兩個:一是深入理解醫(yī)學(xué)
2009-09-17 14:53:07

verilog調(diào)用VHDL模塊

[table][tr][td]習(xí)慣了自己發(fā)現(xiàn)一些小問題,既然發(fā)現(xiàn)了,就記下來吧,不然又要忘了,這是多么悲痛的領(lǐng)悟。 今天在用vivado進行塊設(shè)計時所生成的頂層模塊居然是用VHDL語言描述的,這時
2018-07-09 01:14:18

FPGAVHDL語言的數(shù)據(jù)類型和運算符

VHDL 和其他高級語言一樣,具有多種數(shù)據(jù)類型。對大多數(shù)數(shù)據(jù)類型的定義兩者是一致的(例如整數(shù)型),但是也有一些數(shù)據(jù)類型是 VHDL 所獨有的。表 2-2 所示 VHDL 支持的數(shù)據(jù)類型和它的數(shù)據(jù)對象。
2018-09-10 10:09:12

LED皮膚醫(yī)學(xué)的應(yīng)用

參數(shù)。二、LED皮膚科醫(yī)學(xué)的應(yīng)用1.光子嫩膚(photorejuvenation)光子嫩膚技術(shù)被定義使用連續(xù)的強脈沖光在低能量密度下進行非剝脫方式的嫩膚治療。自2000年問世以來短短幾年內(nèi),光子
2012-12-12 17:11:51

VerilogHDL模塊化程序設(shè)計

:電子技術(shù)設(shè)計的核心EDA,目前,EDA技術(shù)的設(shè)計語言主要有VerilogHDL和VHDL兩種,相對來說VerilogHDL語言相對簡單,上手快,其語法風(fēng)格與C語言類似,據(jù)統(tǒng)計,VerilogHDL
2018-05-04 12:06:12

perl語言IC設(shè)計的應(yīng)用

,實際的IC設(shè)計作為輔助手段被廣泛采用講了perl語言IC設(shè)計的幾個應(yīng)用,主要在Verilog代碼擴充和仿真測試等方面,對IC設(shè)計人員很有針對性,讓你能有目的性的學(xué)習(xí)腳本語言,適合入門領(lǐng)會。perl語言IC設(shè)計的應(yīng)用[hide][/hide]
2012-01-11 15:06:01

什么是EDA技術(shù)

)和CAE(計算機輔助工程)的概念發(fā)展而來的。EDA技術(shù)是以計算機工具,根據(jù)硬件描述語言HDL( Hardware Description language)完成的設(shè)計文件,自動地完成邏輯編譯、化簡
2019-07-30 06:20:05

單片機醫(yī)學(xué)信號檢測儀的應(yīng)用

單片機醫(yī)學(xué)信號檢測儀的應(yīng)用1 引 言  傳統(tǒng)的檢測儀器大多由硬件電路來完成,不僅功能單一,而且開發(fā)周期長,不易維護。隨著微電子技術(shù)和信息技術(shù)的高速發(fā)展,醫(yī)學(xué)檢測儀器正向組合式、多功能、智能化
2009-11-28 10:24:41

雙向數(shù)據(jù)轉(zhuǎn)換器的VHDL程序設(shè)計

(專用集成電路)技術(shù)的不斷完善,EDA(電子設(shè)計自動化)技術(shù)現(xiàn)代數(shù)字系統(tǒng)和微電子技術(shù)應(yīng)用顯示出了越來越重要的作用,而現(xiàn)代EDA技術(shù)的重要特征是采用了硬件描述語言,即VHDL描述。VHDL(Very High
2016-05-08 06:18:34

可以使用核心生成器工具MCB生成vhdl代碼嗎?

vhdl編碼了我的設(shè)計。我可以使用核心生成器工具MCB生成vhdl代碼嗎?2)就模擬模型而言,我可以elpida網(wǎng)站上看到verilog的模型。有些vhdl仿真模型在哪里?3)我是初學(xué)者,如果有
2019-06-13 06:57:41

基于EDA技術(shù)的FPGA該怎么設(shè)計?

(Electronic Design Automation)具有一定的代表性。EDA技術(shù)是一種基于芯片的現(xiàn)代電子系統(tǒng)設(shè)計方法。它的優(yōu)勢主要集中能用HDL語言進行輸入、進行PEn(可編程器件)的設(shè)計與仿真等系統(tǒng)
2019-10-08 08:02:17

基于EDA技術(shù)的FPGA該怎么設(shè)計?

),在數(shù)字系統(tǒng)設(shè)計和控制電路中越來越受到重視。介紹了這種電路的基本結(jié)構(gòu)、性能特點、應(yīng)用領(lǐng)域及使用的注意事項。對基于EDA技術(shù)的FPGA進行了展望。指出EDA技術(shù)將是未來電子產(chǎn)品設(shè)計技術(shù)發(fā)展的主要方向。
2019-09-03 06:17:15

基于VHDL語言的IP核驗證

設(shè)計重用的關(guān)鍵技術(shù)。如何保證IP核的高測試覆蓋率,如何保證IP核集成到SoC后的可測試性.是該階段分析的主要目標(biāo)。所以IP核實現(xiàn)之前.要檢查IP核設(shè)計是否違反了可測性設(shè)計規(guī)則; 低功耗分析
2021-09-01 19:32:45

基于CPLD和FPGA的VHDL語言電路優(yōu)化設(shè)計

成為描述、驗證和設(shè)計數(shù)字系統(tǒng)中最重要的標(biāo)準(zhǔn)語言之一。由于VHDL語法和風(fēng)格上類似于高級編程語言,可讀性好,描述能力強,設(shè)計方法靈活,可移植性強,因此它已成為廣大EDA工程師的首選。目前,使用VHDL
2019-06-18 07:45:03

大神分享哈! EDA微波爐VHDL程序 感謝!

大神分享哈! EDA微波爐VHDL程序 感謝!,課程需要。
2017-06-21 17:19:35

怎么利用CPLD/FPGA的VHDL語言優(yōu)化電路?

(PLD)的發(fā)展而發(fā)展起來的。它是一種面向設(shè)計、多層次的硬件描述語言,是集行為描述、RTL描述、門級描述功能為一體的語言,并已成為描述、驗證和設(shè)計數(shù)字系統(tǒng)中最重要的標(biāo)準(zhǔn)語言之一。由于VHDL語法和風(fēng)格上類似于高級編程語言,可讀性好,描述能力強,設(shè)計方法靈活,可移植性強,因此它已成為廣大EDA工程師的首選。
2019-08-28 08:05:46

怎么設(shè)計優(yōu)化VHDL語言電路?

語法和風(fēng)格上類似于高級編程語言,可讀性好,描述能力強,設(shè)計方法靈活,可移植性強,因此它已成為廣大EDA工程師的首選。目前,使用VHDL語言進行CPLD/FPGA設(shè)計開發(fā),Altera和Lattice
2019-08-08 07:08:00

本人珍藏的VHDL基本語言現(xiàn)象和實用技術(shù)教程

`本書比較系統(tǒng)地介紹了VHDL的基本語言現(xiàn)象和實用技術(shù) 全書以實用和可操作為基點 簡潔而又不失完整地介紹了 VHDL基于 EDA技術(shù)的理論與實踐方面的知識其中包括VHDL語句語法基礎(chǔ)知識 第1章 第
2012-02-27 13:52:50

電子設(shè)計自動化(EDA)是什么

,微電子技術(shù)的發(fā)展密切相關(guān),它吸收了計算機科學(xué)領(lǐng)域的大多數(shù)最新研究成果,以高性能的計算機作為工作平臺,促進了工程發(fā)展。EDA的一個重要特征就是使用硬件描述語言(HDL)來完成的設(shè)計文件,VHDL語言是經(jīng)IEEE
2021-07-29 09:24:20

VHDL硬件描述語言教學(xué).

VHDL硬件描述語言教學(xué):包括fpga講義,VHDL硬件描述語言基礎(chǔ),VHDL語言的層次化設(shè)計的教學(xué)幻燈片
2006-03-27 23:46:4993

vhdl語言教程下載

第1章 緒論 1.1 關(guān)于EDA 1.2 關(guān)于VHDL 1.3 關(guān)于自頂向下的系統(tǒng)設(shè)計方法 1.4 關(guān)于應(yīng)用 VHDLEDA過程 1.5 關(guān)于在系統(tǒng)編程技術(shù) 1.6 關(guān)于FPGA/CPLD的優(yōu)勢 1.7 
2008-06-04 10:24:061679

vhdl語言ppt

VHDL的定義和功能VHDL的發(fā)展概況程序編程語言和硬件描述語言的對比引入硬件描述語言對系統(tǒng)進
2008-09-03 12:58:4139

EDA技術(shù)及其應(yīng)用

摘要:在簡要介紹了EDA技術(shù)特點的基礎(chǔ)—L,用EDA技術(shù)作為開發(fā)手段,實現(xiàn)一個數(shù)字系統(tǒng)的設(shè)計。系統(tǒng)采用了頂層圖形設(shè)計思想,基于硬件描述語言AI扔L,以可編程器件為核心,具
2008-11-18 11:01:2998

VHDL語言及其應(yīng)用 pdf

VHDL語言及其應(yīng)用是在作者歷時七年為通信與信息系統(tǒng)、信號與信息處理專業(yè)研究生講授VHDL語言及其應(yīng)用課程的教學(xué)實踐基礎(chǔ)上編寫而成的。全書共分15章,以教授完整的VHDL語言
2009-02-12 09:41:38172

EDA/VHDL多媒體教學(xué)課件

EDA/VHDL講座主要內(nèi)容一、EDA、EDA技術(shù)及其應(yīng)用與發(fā)展二、硬件描述語言三、FPGA和CPLD四、EDA工具軟件五、電子設(shè)計競賽幾個實際問題的討論六、VHDL語言初步七
2009-03-08 10:54:1039

VHDL語言及其應(yīng)用

VHDL語言及其應(yīng)用是在作者歷時七年為通信與信息系統(tǒng)、信號與信息處理專業(yè)研究生講授VHDL語言及其應(yīng)用課程的教學(xué)實踐基礎(chǔ)上編寫而成的。全書共分15章,以教授完整的VHDL語言
2009-07-10 17:21:4418

VHDL語言及其應(yīng)用

VHDL語言及其應(yīng)用的主要內(nèi)容:第一章 硬件模型概述第二章 基本的VHDL編程語言第三章 VHDL模型的組織第四章 VHDL綜合工具第五章 VHDL應(yīng)用樣例附錄A VHDL
2009-07-20 12:06:150

VHDL語言概述

VHDL語言概述:本章主要內(nèi)容:􀁺硬件描述語言(HDL)􀁺VHDL語言的特點􀁺VHDL語言的開發(fā)流程 1.1 1.1 硬件描述語言( 硬件描述語言(HDL HDL)􀂾H
2009-08-09 23:13:2047

使用VHDL語言開發(fā)計算機中的接口芯片

討論了 VHDL 語言EDA 中的諸多優(yōu)點,結(jié)合定時/計數(shù)接口芯片的開發(fā)實例,討論了ISP技術(shù)在電子設(shè)計自動化中的應(yīng)用。同時給出了實例的具體例程和時序仿真波形。在計算機控制
2009-08-11 08:20:1420

VHDL語言描述數(shù)字系統(tǒng)

VHDL語言描述數(shù)字系統(tǒng):本章介紹用 VHDL 描述硬件電路的一些基本手段和基本方法。   VHDL 語言是美國國防部在 20 世紀(jì) 80 年代初為實現(xiàn)其高速集成電路計劃(VHSIC)而提出的
2009-09-01 09:02:4037

使用VHDL語言中幾個常見問題的探討

結(jié)合應(yīng)用MaxplusⅡ軟件進行VHDL 語言代碼編寫的經(jīng)驗,闡述使用VHDL 語言的過程中比較常見的幾個問題。
2009-09-10 16:19:2425

VHDL 語言程序的元素

VHDL 語言程序的元素:本章主要內(nèi)容:􀁺VHDL語言的對象􀁺VHDL語言的數(shù)據(jù)類型􀁺VHDL語言的運算符􀁺VHDL語言的標(biāo)識符􀁺VHDL語言的詞法單元
2009-09-28 14:32:2141

vhdl數(shù)字系統(tǒng)設(shè)計

vhdl數(shù)字系統(tǒng)設(shè)計是數(shù)字電路自動化設(shè)計(EDA)入門的工具書。其內(nèi)容主要包括:用VHDL語言設(shè)計的基本組合電路、時序電路、數(shù)字綜合電路、電路圖輸入法要領(lǐng)概述、實用VHDL語句
2009-10-08 21:54:010

EDA技術(shù)培訓(xùn)與VHDL之實用電路模塊設(shè)計

EDA技術(shù)培訓(xùn)與VHDL之實用電路模塊設(shè)計
2009-12-05 16:31:1495

數(shù)字電池EDA入門之VHDL程序?qū)崿F(xiàn)集

數(shù)字電池EDA入門之VHDL程序?qū)崿F(xiàn)集
2009-12-07 14:14:570

EDA技術(shù)及應(yīng)用教學(xué)課件

EDA技術(shù)及應(yīng)用教學(xué)課件:第1章  緒論 第2章  大規(guī)模可編程邏輯器件 第3章  VHDL編程基礎(chǔ) 第4章  常用EDA工具軟件操作指南 第5章  EDA實驗開發(fā)系統(tǒng) 第6章
2009-12-08 16:25:200

五個ARM處理器核心verilog/VHDL源代碼

五個ARM處理器核心verilog/VHDL源代碼 有幾中編程語言。.net.vbh...
2010-02-09 11:32:13138

EDA技術(shù)教材(復(fù)習(xí)精華)

EDA技術(shù)復(fù)習(xí)精華 VHDL部分EDA:電子設(shè)計自動化(Electronic Design Automation)EDA技術(shù)包含4個基本條件:1.大規(guī)
2010-02-23 16:40:230

基于VHDL語言的智能密碼鎖設(shè)計

本文介紹一種利用 EDA技術(shù)VHDL 語言 ,在MAX+PLUSⅡ環(huán)境下,設(shè)計了一種新型的智能密碼鎖。它體積小、功耗低、價格便宜、安全可靠,維護和升級都十分方便,具有較好的應(yīng)用前景。
2010-08-03 16:51:430

VHDL語言的組合電路設(shè)計

實驗八、VHDL語言的組合電路設(shè)計一? 實驗?zāi)康?掌握VHDL語言的基本結(jié)構(gòu)及設(shè)計的輸入方法。2掌握VHDL語言的組合電路設(shè)計方法。二? 實驗設(shè)備與儀器
2009-03-13 19:26:582368

VHDL語言在FPGA/CPLD開發(fā)中的應(yīng)用?

【摘 要】 通過設(shè)計實例詳細(xì)介紹了用VHDL(VHSIC Hardware DescriptionLanguage)語言開發(fā)FPGA/CPLD的方法,以及與電路圖輸入和其它HDL語言相比,使用VHDL語言的優(yōu)越性。
2009-05-10 19:47:301111

基于VHDL語言的智能撥號報警器的設(shè)計

基于VHDL語言的智能撥號報警器的設(shè)計 介紹了以EDA技術(shù)作為開發(fā)手段的智能撥號報警系統(tǒng)的實現(xiàn)。本系統(tǒng)基于VHDL語言,采用FPGA作為控制核心,實現(xiàn)了遠(yuǎn)程防盜報警。該
2009-10-12 19:08:431167

VHDL基本語言現(xiàn)象和實用技術(shù)教程

本書比較系統(tǒng)地介紹了VHDL 的基本語言現(xiàn)象和實用技術(shù)全書以實用和可操作 為基點簡潔而又不失完整地介紹了VHDL 基于EDA 技術(shù)的理論與實踐方面的知識 其中包括VHDL 語句語法基礎(chǔ)知識第1 章第7 章邏輯綜合與編程技術(shù)第9 章 有限狀態(tài)機及其設(shè)計第10 章基于FPGA
2011-03-03 15:47:130

EDA技術(shù)與FPGA設(shè)計應(yīng)用

摘 要:EDA技術(shù)是現(xiàn)代電子設(shè)計技術(shù)核心,它在現(xiàn)代集成電路設(shè)計中占據(jù)重要地位。隨著深亞微米與超深亞微米技術(shù)的迅速發(fā)展,F(xiàn)PGA設(shè)計越來越多地采用基于VHDL的設(shè)計方法及先進的E
2011-05-27 10:50:582731

基于EDA技術(shù)的數(shù)字系統(tǒng)設(shè)計

介紹了EDA(電子設(shè)計自動化)技術(shù)的發(fā)展過程和基本特征,然后以EDA技術(shù)作為開發(fā)手段,基于硬件描述語言VHDL,以可編程邏輯器件CPLD為核心,實現(xiàn)了一個數(shù)字系統(tǒng)的設(shè)計。
2012-02-16 16:21:0333

EDA原理及應(yīng)用》(何賓教授)課件 PPT

第1章-EDA設(shè)計導(dǎo)論 第2章-可編程邏輯器件設(shè)計方法 第3章-VHDL語言基礎(chǔ) 第4章-數(shù)字邏輯單元設(shè)計 第5章-VHDL高級設(shè)計技術(shù) 第6章-基于HDL和原理圖的設(shè)計輸入 第7章-設(shè)計綜合和行為仿真 第8章
2012-09-18 11:35:36550

EDA原理及VHDL實現(xiàn)(何賓教授)

第1章 數(shù)字系統(tǒng)EDA設(shè)計概論 第2章 可編程邏輯器件設(shè)計方法 第3章 VHDL語言基礎(chǔ) 第4章 數(shù)字邏輯單元設(shè)計 第5章 數(shù)字系統(tǒng)高級設(shè)計技術(shù)(*) 第6章 基于HDL設(shè)計輸入 第7章 基于原理圖設(shè)計輸
2012-09-18 13:38:46163

基于EDA技術(shù)VHDL語言的新型智能電子密碼鎖的設(shè)計與實現(xiàn)

本文介紹一種利用 EDA 技術(shù)VHDL 語言 ,在 MAX+PLUSⅡ環(huán)境下,設(shè)計了一種新型的智能密碼鎖。它體積小、功耗低、價格便宜、安全可靠,維護和升級都十分方便,具有較好的應(yīng)用前景。
2013-01-10 14:40:032246

EDA技術(shù)VHDL語言結(jié)構(gòu)(1)#EDA技術(shù)

edaEDA技術(shù)
jf_49750429發(fā)布于 2023-04-11 23:04:21

EDA技術(shù)VHDL語言結(jié)構(gòu)(2)#EDA技術(shù)

edaEDA技術(shù)
jf_49750429發(fā)布于 2023-04-11 23:04:45

VHDl實用教程(潘松_王國棟編著)_EDA技術(shù)叢書

電子發(fā)燒友網(wǎng)站提供《VHDl實用教程(潘松_王國棟編著)_EDA技術(shù)叢書.txt》資料免費下載
2015-03-11 11:46:570

VHDL語言(修改)

VHDL語言(修改)有需要的朋友下來看看
2016-08-05 17:32:5324

VHDL硬件描述語言

VHDL語言編程學(xué)習(xí)之VHDL硬件描述語言
2016-09-01 15:27:270

硬件描述語言VHDL

硬件描述語言VHDL的學(xué)習(xí)文檔,詳細(xì)的介紹了VHDL
2016-09-02 17:00:5312

VHDL語言要素

VHDL語言要素,大學(xué)EDA課程必備資料,在實際的應(yīng)用中,VHDL仿真器講INTEGER類型的數(shù)據(jù)作為有符號數(shù)處理,而綜合器將INTEGER作為無符號數(shù)處理. VHDL綜合器要求利用RANGE子句
2016-11-21 15:40:340

VHDL入門--EDA資料

VHDL入門--EDA資料,大學(xué)EDA課程必備資料,感興趣的小伙伴們可以瞧一瞧。
2016-11-21 15:40:340

VHDL硬件描述語言的學(xué)習(xí)

到一塊集成電路中是現(xiàn)在數(shù)字電子技術(shù)教學(xué)的重要內(nèi)容。 要讓同學(xué)學(xué)會VHDL,教師首先應(yīng)該自己先學(xué)會,但是學(xué)習(xí)VHDL語言需要能提供文件輸入,邏輯綜合、編譯和仿真的語言環(huán)境,現(xiàn)在向各位推薦一個語言環(huán)境ALTERA公司的Max+plusⅡ軟件,該軟件可以以圖形方式、文
2017-12-05 09:00:3120

基于VHDLEDA技術(shù)醫(yī)學(xué)中的應(yīng)用

在世界范圍內(nèi),關(guān)于VHDL在多個領(lǐng)域尤其在芯片,系統(tǒng)設(shè)計方面的應(yīng)用研究已經(jīng)取得眾多矚目成果。而將VHDL醫(yī)學(xué)相結(jié)合,勢必成為電子自動化設(shè)計(EDA)一個全新的研究方向,本文主要研究將EDA通過VHDL應(yīng)用于醫(yī)學(xué),以對脈搏的測量為例,以實現(xiàn)數(shù)字系統(tǒng)對人體多種生理活動及生理反應(yīng)的直觀精確測量。
2018-05-23 11:17:001729

VHDL語言設(shè)計實體的基本結(jié)構(gòu)

VHDL語言是一種在EDA設(shè)計中廣泛流行的硬件描述語言,主要用于描述數(shù)字系統(tǒng)的結(jié)構(gòu)、行為、功能和接口。除了含有許多具有硬件特征的語句外,VHDL語言的句法、語言形式和描述風(fēng)格十分類似于一般的計算機高級語言,是目前硬件描述語言中應(yīng)用最為廣泛的一種。
2018-03-30 16:04:2721

VHDL教程之使用VHDL進行電子設(shè)計所需的所有資料

本文檔的主要內(nèi)容詳細(xì)介紹的是VHDL教程之使用VHDL進行電子設(shè)計所需的所有資料包括了:VHDL設(shè)計基礎(chǔ)知識,VHDL并行語句,VHDL程序?qū)嶓w,VHDL入門,VHDL語言要素,EDA設(shè)計流程及其工具,IA64 應(yīng)用程序寄存器,LCD液晶顯示漢字字符集表,OCMJ 系列液晶顯示器控制命令集表等
2018-09-25 08:00:000

VHDL教程之VHDL語言元素的詳細(xì)資料概述

本文檔的主要內(nèi)容詳細(xì)介紹的是VHDL教程之VHDL語言元素的詳細(xì)資料概述一內(nèi)容包括了:1. VHDL語言的客體2 VHDL語言的數(shù)據(jù)類型3 VHDL數(shù)據(jù)類型轉(zhuǎn)換4 VHDL詞法規(guī)則與標(biāo)識符
2018-11-05 08:00:000

EDA教程之VHDL語法補充說明詳細(xì)資料說明

本文檔的主要內(nèi)容詳細(xì)介紹的是EDA教程之VHDL語法補充說明詳細(xì)資料說明主要內(nèi)容是:1、VHDL庫 2、子程序調(diào)用 3、VHDL編程的結(jié)構(gòu) 4、 VHDL文字規(guī)則 5、數(shù)據(jù)類型 6、基本語句
2018-11-09 08:00:000

vhdl語言的操作符_vhdl語言有什么用

VHDL是一種用來描述數(shù)字邏輯系統(tǒng)的“編程語言”。它通過對硬件行為的直接描述來實現(xiàn)對硬件的物理實現(xiàn),代表了當(dāng)今硬件設(shè)計的發(fā)展方向。VHDL是為了滿足邏輯設(shè)計過程中的各種需求而設(shè)計的。
2020-04-23 15:51:032362

什么是vhdl語言_簡述vhdl語言的特點

什么是vhdl語言 VHDL 的英文全名是VHSIC Hardware Description Language(VHSIC硬件描述語言)。VHSIC是Very High Speed
2020-04-23 15:58:4910243

eda技術(shù)核心是仿真嗎 EDA的四要素 EDA技術(shù)的作用

 EDA技術(shù)核心并不是仿真,仿真只是EDA技術(shù)的一個重要環(huán)節(jié)。EDA技術(shù)核心是利用計算機輔助設(shè)計(CAD)工具,將電路設(shè)計自動化,實現(xiàn)從電路設(shè)計到制造的全流程自動化。仿真只是在這一流程中的重要一環(huán),用于驗證設(shè)計的正確性、可靠性和穩(wěn)定性等方面。
2023-04-24 18:22:151876

eda技術(shù)vhdl基礎(chǔ) eda的主要功能優(yōu)點 現(xiàn)代EDA技術(shù)的特點有哪些

EDA技術(shù)VHDL是緊密相連的。在EDA設(shè)計中,VHDL通常用于描述數(shù)字電路的功能和行為,并通過邏輯分析器、仿真器等工具進行仿真、分析和驗證。EDA技術(shù)則提供通用的集成設(shè)計平臺和工具來支持VHDL的設(shè)計、仿真、綜合和布局等流程。
2023-08-09 12:41:001105

已全部加載完成