Report QoR Assessment (RQA) 用于詳述您的設(shè)計(jì) QoR 目標(biāo)實(shí)現(xiàn)的可能性。如果此命令返回的結(jié)果與您的期望不符,那么本篇博文包含了有關(guān)您可采取的后續(xù)行動(dòng)的附加信息。本篇博文不僅適合首次使用這些命令的新用戶,對(duì)于有經(jīng)驗(yàn)的用戶應(yīng)該同樣很實(shí)用。
什么是 QoR 評(píng)估報(bào)告 ?
(Report QoR Assessment)
Report QoR Assessment (RQA) 詳述了您為了實(shí)現(xiàn)自己的設(shè)計(jì) QoR 目標(biāo)而應(yīng)采用的方法。它通過(guò)分析方法論和設(shè)計(jì)的特性,為您提供如下詳細(xì)信息:
- 按 1 到 5 評(píng)分,分值對(duì)應(yīng)滿足設(shè)計(jì) QoR 目標(biāo)的可能性
- 您是否需要更正影響 QoR 的方法論問(wèn)題
- 您是否應(yīng)使用 QoR 建議報(bào)告 (Report QoR Suggestions) 來(lái)增強(qiáng)設(shè)計(jì)
- 流程指南,提供有關(guān)利用諸如 ML 策略或增量編譯等工具功能的適當(dāng)時(shí)機(jī)的建議
它是一條基于文本的命令,可于綜合后在 Tcl 控制臺(tái) (Tcl console) 中或腳本里,在實(shí)現(xiàn)流程的任意階段運(yùn)行。
評(píng)估得分
評(píng)估得分用于預(yù)測(cè)設(shè)計(jì)滿足其 QoR 目標(biāo)的可能性高低。它可在實(shí)現(xiàn)的任意階段生成,但鑒于其前瞻性的本質(zhì),它適合在設(shè)計(jì)完全完成布線之前使用,并且在執(zhí)行 opt_design 后生成的評(píng)分值最高。
評(píng)估得分細(xì)分為 5 個(gè)等級(jí):
下表詳列了 41 項(xiàng)設(shè)計(jì)的得分準(zhǔn)確性:
通過(guò)將 opt_design 得分與 route_design 得分進(jìn)行比對(duì),即可看到:
- 59% 的設(shè)計(jì)預(yù)測(cè)準(zhǔn)確
- 98% 的設(shè)計(jì)預(yù)測(cè)偏差在 +/- 1 之間
這樣的準(zhǔn)確率使我們得以指導(dǎo)用戶在實(shí)現(xiàn)流程中是應(yīng)繼續(xù)運(yùn)行后續(xù)步驟,還是使用 Report QoR Suggestions 等工具來(lái)改進(jìn)設(shè)計(jì)并提升滿足時(shí)序的可能性,亦或是執(zhí)行其它操作。
執(zhí)行 place_design 后,評(píng)估得分準(zhǔn)確率更高:
- 76% 的設(shè)計(jì)預(yù)測(cè)準(zhǔn)確
- 98% 的設(shè)計(jì)預(yù)測(cè)偏差在 +/- 1 之間
如您所見(jiàn),如需進(jìn)一步提升準(zhǔn)確率,可運(yùn)行額外的 place_design 步驟,但應(yīng)注意的是,在此階段運(yùn)行該命令的作用有限。
評(píng)估得分可用于判定您應(yīng)致力于改進(jìn)網(wǎng)表還是應(yīng)運(yùn)行實(shí)現(xiàn)工具。
下表概括了常見(jiàn)后續(xù)操作:
QoR 評(píng)估得分可使用 Report QoR Suggestions (RQS) 來(lái)加以改善,但這并不適用于所有設(shè)計(jì)。為了對(duì)得分 2(或低于 3)的設(shè)計(jì)進(jìn)行改進(jìn),可能需要大量工作,例如,對(duì) HLS 模塊進(jìn)行最優(yōu)化、HDL 重新編碼、變更 IP 配置等。
如果我們僅關(guān)注實(shí)現(xiàn)工具流程,那么根據(jù) RQA 得分應(yīng)用自動(dòng) QoR 建議對(duì)于大部分設(shè)計(jì)都有效。運(yùn)行 Report QoR Suggestions 后,對(duì) RQA 得分的影響如下圖所示。
設(shè)計(jì)得分改善與否取決于建議的類型、建議的數(shù)量以及受影響的路徑的數(shù)量。
雖然并非所有設(shè)計(jì)的改善效果都足以使得分提升,但都能改善其設(shè)計(jì)性能特性(如,WNS 或擁塞),因此毋庸置疑,它朝著正確的方向邁出了一步?;?a href="http://ttokpm.com/tags/時(shí)鐘/" target="_blank">時(shí)鐘和擁塞的建議所實(shí)現(xiàn)的改進(jìn)效果最為明顯。
方法論報(bào)告
改進(jìn)設(shè)計(jì)時(shí),如果 QoR 不升反降,那么您勢(shì)必將面臨如下選擇。是繼續(xù)修復(fù)?還是重新尋找新的解決方案?
這里有一個(gè)好辦法 - 解決方法論問(wèn)題即可簡(jiǎn)化這一任務(wù)。
如需了解這一觀點(diǎn)的更多詳情,請(qǐng)請(qǐng)進(jìn)入賽靈思中文論壇(閱解決方法論問(wèn)題以簡(jiǎn)化時(shí)序收斂一文。
與 RQA 合并的方法論報(bào)告 (Report Methodology) 是一個(gè)精簡(jiǎn)版本。RQA 報(bào)告僅詳列了影響 QoR 和工具變化的不合規(guī)的方法論檢查。要獲取完整報(bào)告,請(qǐng)運(yùn)行 report_methodology 命令。
將 QoR 評(píng)估報(bào)告與 QoR 建議搭配使用
QoR 評(píng)估得分是通過(guò)觀察多項(xiàng)底層級(jí)設(shè)計(jì)指標(biāo)并形成總體設(shè)計(jì)得分的方法來(lái)生成的。即使使用自動(dòng)建議,如需提升設(shè)計(jì)得分,最好還是查看設(shè)計(jì)中的問(wèn)題詳情,了解如何通過(guò)每次迭代來(lái)改進(jìn)結(jié)果。
詳情 (Details) 表細(xì)分為多個(gè)類別,這些類別與 Report QoR Suggestions (RQS) 命令的類別相同。將設(shè)計(jì)問(wèn)題綜述集中于一處是非常有效的。此外,還有一個(gè)狀態(tài)列用于顯示需要復(fù)查的領(lǐng)域以及應(yīng)滿足的理想閾值。
下圖顯示了 QoR 評(píng)估詳情表示例:
對(duì)于超出閾值的任何項(xiàng),都會(huì)在其旁邊添加 REVIEW 標(biāo)記。閾值并非硬性限制,但可作為指導(dǎo)。這些閾值可幫助您洞悉設(shè)計(jì)中出現(xiàn) QoR 下降的時(shí)機(jī)。如果只有某一個(gè)項(xiàng)略超閾值,那么您可以預(yù)判它對(duì)自己的設(shè)計(jì)影響有限。但如果有許多因子都略超閾值,或者如果某一個(gè)問(wèn)題顯著超出閾值,那么您幾乎可以肯定設(shè)計(jì)中將出現(xiàn)問(wèn)題。
QoR 評(píng)估詳情表還可提供實(shí)用概覽,以便您在使用 QoR 建議改進(jìn)設(shè)計(jì)之后檢驗(yàn)資源變更情況。鑒于該表極為詳盡,因此非常便于與先前版本進(jìn)行并排對(duì)比。
在 QoR 建議報(bào)告中,您將可以看到,各項(xiàng)建議根據(jù)對(duì)于所涉 RQA 得分的影響,按從高到低排列。通過(guò)將該表與建議進(jìn)行比較,您即可看到各工具嘗試從哪些方面來(lái)對(duì)設(shè)計(jì)進(jìn)行改進(jìn)。
流程指南
流程指南由 RQA 提供,其中詳述了用戶應(yīng)采取的后續(xù)行動(dòng)。它不僅十分便于新用戶上手,對(duì)于經(jīng)驗(yàn)豐富的 FPGA 設(shè)計(jì)師也十分實(shí)用。
通常,它適合用于識(shí)別:
- 尚未被解決的方法論違例
- ML 策略或增量編譯,因?yàn)橛脩舨皇煜み@些流程而可能將其忽略
- 識(shí)別何時(shí)應(yīng)使用 report_qor_suggestions (RQS)
流程指南在“總體評(píng)估匯總 (Overall Assessment Summary)”表中提供。以下是報(bào)告示例:
流程指南將判定設(shè)計(jì)是否需要進(jìn)一步執(zhí)行方法論修復(fù)、是否需要應(yīng)用關(guān)鍵的實(shí)現(xiàn)建議,或者是否已經(jīng)準(zhǔn)備好執(zhí)行 ML 策略或增量編譯實(shí)現(xiàn)流程。設(shè)計(jì)的 QoR 指標(biāo)中并沒(méi)有任何一項(xiàng)屬于硬性要求或?qū)儆诒唤鬼?xiàng),但如果不符合標(biāo)準(zhǔn),則很有可能無(wú)法滿足期望目標(biāo)。
要使設(shè)計(jì)符合 ML 策略要求,必須滿足以下條件:
- 實(shí)現(xiàn)已完成且其運(yùn)行經(jīng)歷了下列階段:opt_design、place_design、phys_opt_design 和 route_design
- 設(shè)計(jì)運(yùn)行時(shí)所采用的所有Directive 均設(shè)置為“Default”或“Explore”。
- 已完成關(guān)鍵設(shè)計(jì)修改。如果設(shè)計(jì)不符合 ML 策略要求并且上述條件已得到滿足,那么您應(yīng)該運(yùn)行 RQS 來(lái)找出這些設(shè)計(jì)修改。
- 受支持的系列為 UltraScale 和 UltraScale+
要使設(shè)計(jì)符合增量編譯要求,設(shè)計(jì)應(yīng)滿足下列條件:
- 在時(shí)序收斂的合理范圍內(nèi)。WNS > -0.500 ns
- RQA 得分為 4 或 5
- 包含一些適合增量編譯的 RQS 建議
受支持的系列為 UltraScale 和UltraScale+
注釋:有部分關(guān)鍵路徑無(wú)法通過(guò)增量流程來(lái)解決,例如,DSP/BRAM 中的固定級(jí)聯(lián)路徑。
下一個(gè)建議的流程階段會(huì)查看所有信息并判斷最適合用戶采取的行動(dòng)方案。當(dāng)設(shè)計(jì)符合增量和 ML 策略時(shí),工具將為您提供最佳選擇建議。
總結(jié)
在本篇博文中,我們向您展示了如何使用 Report QoR Assessment 來(lái)明確自己的設(shè)計(jì)滿足時(shí)序的可能性以及哪些領(lǐng)域需要改進(jìn)。
我們演示了“詳情 (Details)”表提供的詳盡且實(shí)用的設(shè)計(jì)概述,最后還演示了如何使用“流程指南 (Flow Guidance)”功能來(lái)充分利用 Vivado 的工具流程。
編輯:hfy
-
FPGA
+關(guān)注
關(guān)注
1625文章
21620瀏覽量
601239 -
命令
+關(guān)注
關(guān)注
5文章
676瀏覽量
21965 -
ML
+關(guān)注
關(guān)注
0文章
144瀏覽量
34580
發(fā)布評(píng)論請(qǐng)先 登錄
相關(guān)推薦
評(píng)論