0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

對DUT輸入定向或隨機的激勵

ruikundianzi ? 來源:ICer消食片 ? 2023-02-24 17:19 ? 次閱讀

TestBench即測試平臺,是為了檢驗待測設(shè)計(design under test,DUT)而搭建的驗證環(huán)境。有了這個環(huán)境,我們就可以對DUT輸入定向或隨機的激勵,以保證DUT的正確性。故驗證要做的事分為以下幾步:

1、生成各種各樣的輸入激勵

2、將輸入激勵傳遞到DUT上

3、DUT響應(yīng)輸入激勵并輸出

4、檢查輸出與預(yù)期結(jié)果差異

5、發(fā)現(xiàn)功能錯誤后修改DUT

6、重復(fù)上述步驟收集覆蓋率

做個不太恰當?shù)谋扔?,testbench就像一個書桌,你買來了一個鍵盤(DUT),你想要驗證它是不是正常工作,你就開始敲鍵盤檢查。你的十個手指就是激勵,數(shù)據(jù)線和屏幕相連,數(shù)據(jù)線為接口,屏幕是記分板,鍵盤使用說明書為參考模型。首先你把26個字母都敲了一遍(定向測試),發(fā)現(xiàn)屏幕上也出現(xiàn)了26個字母,每個鍵都能沒毛病,基本功能驗證了;但是還不夠,你又組合著敲了guan zhu dian zan”隨機測試),屏幕上突然出現(xiàn)fen xiang zai kan,這時你就發(fā)現(xiàn)bug了,趕緊找設(shè)計人員來修改代碼。

細心的同學(xué)發(fā)現(xiàn),隨機測試豈不是邊界很大,甚至”永無止境“?因此就有了受約束的隨機激勵。使用定向測試和受約束的隨機測試,最終使得功能覆蓋率趨于要求值。最終,鍵盤驗證完沒問題了,再教給后面的人做物理設(shè)計,比如鍵程長短、工藝面積、功耗分析等等,一套流程下來沒問題就拿去廠子代工了。

說完了這個有點尬的比喻,我們理解了testbench就是模擬設(shè)計所在的環(huán)境,以檢查RTL代碼是否符合設(shè)計規(guī)范的玩意,其內(nèi)部是分好幾個組件的。那testbench具體有哪些組件呢?請看下圖(PPT畫的,不是很專業(yè)):

poYBAGP4gU-ABHOdAACrbVBCofc436.jpg

generator
:產(chǎn)生不同的輸入激勵來驅(qū)動DUT 產(chǎn)生有效的數(shù)據(jù),并發(fā)送給driver。

interface:用于連接testbench和DUT 如果一個設(shè)計包含成百上千個端口信號,那么連接、維護和重復(fù)利用這些信號就會很麻煩。如果將這些輸入輸出端口放到一塊組成一個接口,那么連接變得更加簡潔而不易出錯,后續(xù)添加新的信號更簡便,接口也便于重用。

driver:將激勵驅(qū)動到DUT

monitor檢測DUT的輸出

scoreboard:用于比較輸出與預(yù)期值 scoreboard上有與DUT相應(yīng)的參考模型,反映了DUT的預(yù)期行為。如果DUT的輸出和參考模型的輸出不匹配,則設(shè)計中存在功能缺陷。

environment:包含以上所有的組件,便于復(fù)用

test:可以包含不同配置的環(huán)境 因此,為了驗證DUT這份RTL代碼,驗證要做的事是:

1)了解spec,即代碼的規(guī)格說明書,有結(jié)構(gòu)模型、功能描述、信號端口、寄存器定義等,它是設(shè)計和驗證對接工作的橋梁。

2)制定testplan,一個完整的驗證計劃需要考慮的東西有很多,它為后續(xù)工作的進行提供了方向。

3)構(gòu)建testbench,根據(jù)具體驗證需求選擇相應(yīng)的組件,搭建出盡量可重用的驗證環(huán)境。

4)編寫testcase,根據(jù)之前定制的驗證計劃,coding相應(yīng)的測試用例,debug failcase,把全部case調(diào)試至pass。

5)收集coverage,跑regression回歸,根據(jù)覆蓋率來決定是否加case,直到滿足RTL freeze要求。





審核編輯:劉清

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • RTL
    RTL
    +關(guān)注

    關(guān)注

    1

    文章

    384

    瀏覽量

    59534
  • DUT
    DUT
    +關(guān)注

    關(guān)注

    0

    文章

    188

    瀏覽量

    12208

原文標題:芯片驗證需要圍繞DUT做什么?

文章出處:【微信號:IP與SoC設(shè)計,微信公眾號:IP與SoC設(shè)計】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    定向耦合器的應(yīng)用

    的抗干擾性測量雜散測量在射頻測試和測量系統(tǒng)中,經(jīng)??梢砸姷较聢D所示的電路。如果DUT (被測器件設(shè)備)是接收機,則通過定向耦合器的耦合端可以向接收機注入一個鄰道干擾信號,再通過接在
    發(fā)表于 01-23 09:53

    如何在各種可能的激勵情況下判斷出不符合硬件描述的行為

    合法和非法的。按照這個主要原則,我們在產(chǎn)生激勵時可以參考以下幾點:接口類型:對于DUT來說,可以把所有的輸入輸出信號劃分為不同的接口類型,根據(jù)該接口類型的特性構(gòu)造對應(yīng)的組件來產(chǎn)生激勵。
    發(fā)表于 02-16 14:23

    如果在DUT輸出端加大衰減器后怎樣使用矢量網(wǎng)絡(luò)分析儀進行校準和測試?

    激勵信號從DUT的輸出端反射回來之后,先經(jīng)過外接的定向耦合器20dB的,再經(jīng)過衰減器30dB的衰減之后,不經(jīng)過網(wǎng)絡(luò)儀內(nèi)部的定向耦合器直接輸入
    發(fā)表于 03-22 11:43

    如何使用矢網(wǎng)PA測量S11、S21和飽和功率呢?

    的測試方法  圖2.1 DA直接驅(qū)動PA的簡單測試方法  當網(wǎng)絡(luò)分析儀激勵源所提供的輸入功率低于DUT輸入需要的Pin時,有必要在PA之前通過前置DA(Drive Amplifier)
    發(fā)表于 03-22 14:39

    SystemVerilog中的隨機激勵

    隨著集成電路的驗證工作日漸復(fù)雜,對驗證的可靠性提出了越來越高的要求。傳統(tǒng)的驗證工作中也使用隨機激勵以便減輕測試代碼編寫的工作量,以提升驗證的可靠性。在SystemV
    發(fā)表于 12-14 10:55 ?14次下載

    XTR101電流激勵的橋輸入電路圖

    XTR101電流激勵的橋輸入電路圖
    發(fā)表于 06-27 16:28 ?432次閱讀
    XTR101電流<b class='flag-5'>激勵</b>的橋<b class='flag-5'>輸入</b>電路圖

    XTR101有激勵的橋輸入電路圖

    XTR101有激勵的橋輸入電路圖
    發(fā)表于 06-27 16:37 ?525次閱讀
    XTR101有<b class='flag-5'>激勵</b>的橋<b class='flag-5'>輸入</b>電路圖

    System Verilog中的隨機激勵

    在SystemVeri log更強調(diào)了利用隨機激勵函數(shù)以提高驗證代碼的效率和驗證可靠性的重要性。本文以VMM庫為例,闡述了如何在SystemVeri 1og中使用隨機化函數(shù)來編寫高效率的測試代碼,重點介
    發(fā)表于 04-01 15:03 ?30次下載
    System Verilog中的<b class='flag-5'>隨機</b>化<b class='flag-5'>激勵</b>

    基于System Verilog中的隨機激勵

    基于System Verilog中的隨機激勵
    發(fā)表于 10-31 09:25 ?9次下載
    基于System Verilog中的<b class='flag-5'>隨機</b>化<b class='flag-5'>激勵</b>

    通用可配置的數(shù)據(jù)激勵器設(shè)計方案

    針對數(shù)據(jù)激勵生成器中定向激勵隨機激勵生成技術(shù)耗時長、跨平臺使用時工作量大的問題,結(jié)合自主數(shù)據(jù)激勵
    發(fā)表于 06-09 11:10 ?4次下載

    各種激勵信號的對比與選擇

    周期隨機激勵信號也是感興趣頻帶內(nèi)的一組頻率譜線通過傅立葉逆變換到時域,產(chǎn)生激勵信號的一種激勵技術(shù)。
    的頭像 發(fā)表于 01-18 17:17 ?8878次閱讀
    各種<b class='flag-5'>激勵</b>信號的對比與選擇

    DUT 和 testbench 連接教程

    我們知道,不論是哪一級的驗證,最終都是通過 pin 連接到 DUT 上向其施加激勵,**對于 UVM 驗證平臺中,使用虛接口來實現(xiàn) DUT 和驗證平臺的通信
    的頭像 發(fā)表于 03-21 11:20 ?1348次閱讀

    隨機數(shù)和真隨機數(shù)的區(qū)別是什么呢?

    隨機驗證中的隨機其實都是基于偽隨機發(fā)生器的,即每次都使用一個唯一的種子生成相應(yīng)的激勵。
    的頭像 發(fā)表于 04-17 10:12 ?4076次閱讀

    UVM驗證環(huán)境開發(fā)之建模激勵數(shù)據(jù)

    作為DUT激勵對象。
    的頭像 發(fā)表于 05-29 14:57 ?678次閱讀
    UVM驗證環(huán)境開發(fā)之建模<b class='flag-5'>激勵</b>數(shù)據(jù)

    什么是激勵電壓 激勵電壓如何選擇

    什么是激勵電壓 激勵電壓如何選擇 激勵電壓是指在某些電子設(shè)備中,為了使其正常工作所需要提供的電壓信號。例如,放大器中需要提供一個激勵電壓,來驅(qū)動電子管
    的頭像 發(fā)表于 08-18 09:44 ?1760次閱讀
    什么是<b class='flag-5'>激勵</b>電壓 <b class='flag-5'>激勵</b>電壓如何選擇