0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

物聯(lián)網(wǎng)中Modbus協(xié)議在DTU/RTU產(chǎn)品中的應(yīng)用

jf_94171069 ? 來(lái)源:jf_94171069 ? 作者:jf_94171069 ? 2024-09-25 16:32 ? 次閱讀

一 概述

Modbus 是由 Modicon(現(xiàn)為施耐德電氣公司的一個(gè)品牌)在 1979 年發(fā)明的,是全球第一個(gè)真正用于工業(yè)現(xiàn)場(chǎng)的總線協(xié)議。ModBus 網(wǎng)絡(luò)是一個(gè)工業(yè)通信系統(tǒng),由帶智能終端的可編程控制器和計(jì)算機(jī)通過(guò)公用線路或局部專(zhuān)用線路連接而成。其系統(tǒng)結(jié)構(gòu)既包括硬件、亦包括軟件。它可應(yīng)用于各種數(shù)據(jù)采集和過(guò)程監(jiān)控。為更好地普及和推動(dòng) Modbus 在基于以太網(wǎng)上的分布式應(yīng)用,目前施耐德公司已將 Modbus 協(xié)議的所有權(quán)移交給 IDA(Interface for Distributed Automation,分布式自動(dòng)化接口)組織,并成立了 Modbus-IDA 組織,為 Modbus 今后的發(fā)展奠定了基礎(chǔ)。

在中國(guó),Modbus 已經(jīng)成為國(guó)家標(biāo)準(zhǔn),并有專(zhuān)業(yè)的規(guī)范文檔,感興趣的可以去查閱相關(guān)的文件,詳情如下:標(biāo)準(zhǔn)編號(hào)為:GB/T19582-2008文件名稱(chēng):《基于 Modbus 協(xié)議的工業(yè)自動(dòng)化網(wǎng)絡(luò)規(guī)范》,主要包含三個(gè)部分:

GB-T19582.1-2008 第 1 部分:Modbus 應(yīng)用協(xié)議

GB-T19582.1-2008 第 2 部分:Modbus 協(xié)議在串行鏈路上的實(shí)現(xiàn)指南

GB-T19582.1-2008 第 3 部分:Modbus 協(xié)議在 TCP/IP 上的實(shí)現(xiàn)指南

二 Modbus 的作用

Modbus 協(xié)議是一種通信協(xié)議,而且是一種開(kāi)放協(xié)議,因此廣泛地用于在工業(yè)自動(dòng)化系統(tǒng)中實(shí)現(xiàn)設(shè)備之間的數(shù)據(jù)交換。它是最常用的串行通信協(xié)議之一,廣泛應(yīng)用于監(jiān)控和控制設(shè)備之間的通信。簡(jiǎn)而言之,它是用于在電子設(shè)備之間的串行線路上傳輸信息的方法。請(qǐng)求信息的設(shè)備被稱(chēng)為 Modbus 客戶(hù)端,提供信息的設(shè)備是 Modbus 服務(wù)器。Modbus 支持單主機(jī),多個(gè)從機(jī),在標(biāo)準(zhǔn) Modbus 網(wǎng)絡(luò)中,有一個(gè)客戶(hù)端和多達(dá) 247個(gè)服務(wù)器,每個(gè)服務(wù)器都有從 1 到 247 的唯一服務(wù)器地址。客戶(hù)端還可以向服務(wù)器寫(xiě)入信息。

Modbus 通常用于從儀器和控制設(shè)備傳輸信號(hào)到主控制器或數(shù)據(jù)采集系統(tǒng),例如用于測(cè)量溫度和濕度并將結(jié)果傳輸?shù)接?jì)算機(jī)的系統(tǒng)。Modbus 通常用于將監(jiān)控計(jì)算機(jī)與遠(yuǎn)程終端單元(RTU)連接在一起,這在監(jiān)控和數(shù)據(jù)采集(SCADA)系統(tǒng)中使用。

Modbus 協(xié)議簡(jiǎn)單易于實(shí)現(xiàn),傳輸效率高,因此在工業(yè)自動(dòng)化領(lǐng)域得到廣泛應(yīng)用。它支持多個(gè)設(shè)備之間的并行通信,可以實(shí)現(xiàn)分布式控制系統(tǒng)的互聯(lián)互通。同時(shí),Modbus 協(xié)議還具有跨平臺(tái)、跨廠商的特點(diǎn),使得不同廠商

三 Modbus 的工作原理

1、四種數(shù)據(jù)類(lèi)型

Modbus協(xié)議規(guī)定,進(jìn)行讀寫(xiě)操作的數(shù)據(jù)類(lèi)型,按照讀寫(xiě)屬性和類(lèi)型可分為以下4種:

內(nèi)存區(qū)塊 數(shù)據(jù)類(lèi)型 主設(shè)備訪問(wèn) 從設(shè)備訪問(wèn) 內(nèi)容
離散量輸入 布爾 只讀 讀寫(xiě) I/O 系統(tǒng)提供這種類(lèi)型數(shù)據(jù)
線圈 布爾 讀寫(xiě) 讀寫(xiě) 通過(guò)應(yīng)用程序改變這種類(lèi)型數(shù)據(jù)
輸入寄存器 無(wú)符號(hào)雙字節(jié)整型 只讀 讀寫(xiě) I/O 系統(tǒng)提供這種類(lèi)型數(shù)據(jù)
保持寄存器 無(wú)符號(hào)雙字節(jié)整型 讀寫(xiě) 讀寫(xiě) 通過(guò)應(yīng)用程序改變這種類(lèi)型數(shù)據(jù)

2、三種工作模式

Modbus 協(xié)議主要有三種形式:Modbus ASCII、Modbus RTU 和 Modbus TCP/IP。Modbus ASCII 和 Modbus RTU 是基于串行通信的協(xié)議,而 Modbus TCP/IP 則是基于以太網(wǎng)的協(xié)議。

Modbus ASCII 是一種文本協(xié)議,使用 ASCII 碼表示數(shù)據(jù)。它使用起始字符(“:”)、從站地址、功能碼、數(shù)據(jù)、結(jié)尾字符(換行符 CR/LF)等字段來(lái)定義通信內(nèi)容,并采用的是 LRC 校驗(yàn)算法。數(shù)據(jù)以 ASCII 碼的形式傳輸,通常是通過(guò) RS-232 或 RS-485 等串行通信接口進(jìn)行傳輸。

Modbus RTU 是一種二進(jìn)制協(xié)議,使用二進(jìn)制碼表示數(shù)據(jù)。它采用起始字符、從站地址、功能碼、數(shù)據(jù)等字段來(lái)定義通信內(nèi)容,并使用 CRC 校驗(yàn)位來(lái)保證數(shù)據(jù)的完整性。Modbus RTU 通常通過(guò) RS-232、RS-485 或 RS-422 等串行通信接口進(jìn)行傳輸。

Modbus TCP/IP 是一種基于以太網(wǎng)的協(xié)議,使用 TCP/IP 協(xié)議棧進(jìn)行通信。它使用以太網(wǎng)幀作為數(shù)據(jù)傳輸?shù)姆庋b,通過(guò) IP 地址和端口號(hào)來(lái)標(biāo)識(shí)設(shè)備。其占用的是 502 端口,數(shù)據(jù)幀主要包括兩部分:MBAP(報(bào)文頭)+PDU(幀結(jié)構(gòu)),數(shù)據(jù)塊與串行鏈路是一致的。Modbus TCP/IP 可以通過(guò)以太網(wǎng)、無(wú)線局域網(wǎng)等網(wǎng)絡(luò)介質(zhì)實(shí)現(xiàn)設(shè)備之間的遠(yuǎn)程通信。

3、三類(lèi)功能碼

Modbus 協(xié)議定義了一系列功能碼,用于讀取和寫(xiě)入設(shè)備的寄存器。常用的功能碼包括讀取保持寄存器、讀取輸入寄存器、寫(xiě)單個(gè)保持寄存器等。通過(guò)組合使用功能碼和寄存器地址,可以實(shí)現(xiàn)對(duì)設(shè)備的讀取和控制操作。

Modbus 主要包括 3 類(lèi)功能碼:公共功能碼、用戶(hù)定義功能碼和保留功能碼。

3.1 標(biāo)志功能碼

0 類(lèi)代碼

0 類(lèi)代碼通常被認(rèn)為是有效 Modbus 設(shè)備的最低配置,因?yàn)榇祟?lèi)代碼可使主設(shè)備能夠讀取或?qū)懭霐?shù)據(jù)模型。

代碼 說(shuō)明
3 讀取多寄存器
16 寫(xiě)入多寄存器

1 類(lèi)代碼 1 類(lèi)功能碼由訪問(wèn)所有類(lèi)型的數(shù)據(jù)模型所需的其他代碼組成。在原始定義中,此列表包含功能碼 7(讀取異常)。但是,當(dāng)前規(guī)范規(guī)定此代碼為僅限于串行的代碼。

代碼 說(shuō)明
1 讀取線圈
2 讀取離散量輸入
4 讀取輸入寄存器
5 寫(xiě)入單個(gè)線圈
6 寫(xiě)入單個(gè)寄存器
7 讀取異常狀態(tài)(僅限串行)

2 類(lèi)代碼 2 類(lèi)功能碼表示不太常用但更為專(zhuān)業(yè)化的功能。例如,讀取/寫(xiě)入多個(gè)寄存器可能有助于減少請(qǐng)求-響應(yīng)周期的總數(shù),但該行為仍可用 0 類(lèi)代碼實(shí)現(xiàn)。

代碼 說(shuō)明
15 寫(xiě)入多個(gè)線圈
20 讀取文件記錄
21 寫(xiě)入文件記錄
22 屏蔽寫(xiě)入寄存器
23 讀取/寫(xiě)入多個(gè)寄存器
24 讀取

3.2 Modbus 封裝接口

Modbus 封裝接口(MEI)代碼(即功能 43)用于封裝 Modbus 數(shù)據(jù)包內(nèi)的其他數(shù)據(jù)。目前,提供了兩個(gè) MEI 編號(hào),即 13 (CANopen) 和 14(設(shè)備識(shí)別)。

功能 43/14(設(shè)備識(shí)別)非常有用,因?yàn)樗试S傳輸多達(dá) 256 個(gè)唯一的對(duì)象。其中一些對(duì)象已預(yù)定義并預(yù)留,例如供應(yīng)商名稱(chēng)和產(chǎn)品代碼,但應(yīng)用程序可以將其他對(duì)象定義為作為通用數(shù)據(jù)集傳輸。

此類(lèi)代碼并不常用。

3.3 異常

從設(shè)備使用異常來(lái)指示各種不良狀況,比如錯(cuò)誤請(qǐng)求或不正確輸入。但是,異常也可以作為對(duì)無(wú)效請(qǐng)求的應(yīng)用程序級(jí)響應(yīng)。從設(shè)備不會(huì)響應(yīng)發(fā)出異常的請(qǐng)求,而是忽略不完整或損壞的請(qǐng)求,并開(kāi)始等待新的消息傳入。

異常以定義好的數(shù)據(jù)包格式報(bào)告給用戶(hù)。首先,將功能碼返回給等同于原始功能碼的請(qǐng)求主設(shè)備,設(shè)置最高有效位的情況除外。這等同于為原始功能碼的值加上 0x80。異常響應(yīng)包括一個(gè)異常碼,用于代替與給定功能響應(yīng)相關(guān)的正常數(shù)據(jù)。

異常碼 含義
01 不支持接收的功能碼。要確認(rèn)原始功能碼,請(qǐng)從返回值中減去0x80。
02 請(qǐng)求嘗試訪問(wèn)的地址無(wú)效。根據(jù)標(biāo)準(zhǔn),只有在起始地址和所請(qǐng)求值的編號(hào)超過(guò)216時(shí)才會(huì)發(fā)生這種情況。但是,有些設(shè)備可能會(huì)限制其
03 請(qǐng)求包含不正確的數(shù)據(jù)。在某些情況下,這意味著參數(shù)不匹配,例如所發(fā)送寄存器的數(shù)量與“字節(jié)總數(shù)”字段之間的參數(shù)不匹配。更常見(jiàn)的情況是,主設(shè)備請(qǐng)求的數(shù)據(jù)高于從設(shè)備或協(xié)議所允許的上限。例如,主設(shè)備一次只能讀取 125 個(gè)保持寄存器,而資源受限的設(shè)備可能會(huì)將此值限制為更少的寄存器。
04 嘗試處理請(qǐng)求時(shí)發(fā)生不可恢復(fù)的錯(cuò)誤。這是一個(gè)常見(jiàn)異常碼,表示請(qǐng)求有效,但從設(shè)備無(wú)法執(zhí)行該請(qǐng)求。

每個(gè)功能碼的狀態(tài)圖至少應(yīng)包含異常碼 01,通常包含異常碼 02、03、04,并且任何其他定義的異常碼都是可選的。

四 Modbus 協(xié)議層

在最初的做法中,Modbus 是建立在串行端口之上的單一協(xié)議,因此它不能被分成多個(gè)層。隨著時(shí)間的推移,該協(xié)議引入了不同的應(yīng)用數(shù)據(jù)單元,來(lái)更改串行通信所用的數(shù)據(jù)包格式,或允許使用 TCP/IP 和 UDP 網(wǎng)絡(luò)。這樣便實(shí)現(xiàn)了核心協(xié)議和網(wǎng)絡(luò)層的分離,前者用于定義協(xié)議數(shù)據(jù)單元(PDU),后者用于定義應(yīng)用數(shù)據(jù)單元(ADU)。

4.1 協(xié)議數(shù)據(jù)單元

PDU及其處理代碼構(gòu)成了 Modbus應(yīng)用協(xié)議規(guī)范 的核心。該規(guī)范定義了PDU的格式、協(xié)議使用的各種數(shù)據(jù)概念、如何使用功能碼訪問(wèn)數(shù)據(jù),以及每個(gè)功能碼的具體實(shí)現(xiàn)和限制。

Modbus PDU 格式可定義為功能碼,后跟一組關(guān)聯(lián)數(shù)據(jù)。該數(shù)據(jù)的大小和內(nèi)容由功能碼定義,整個(gè) PDU(功能碼和數(shù)據(jù))的大小不能超過(guò) 253 個(gè)字節(jié)。每個(gè)功能碼都有一個(gè)特定的行為,從設(shè)備可以根據(jù)所需的應(yīng)用程序行為靈活地實(shí)現(xiàn)這些行為。PDU 規(guī)范定義了數(shù)據(jù)訪問(wèn)和操作的核心概念;但是,從設(shè)備可能會(huì)以規(guī)范中未明確定義的方式處理數(shù)據(jù)。

PDU 由一個(gè)單字節(jié)的功能碼組成,后跟多達(dá) 252 字節(jié)的功能特定數(shù)據(jù)。

wKgZombzyn-AFhoZAAB3gnoGBSc775.jpg

功能碼是第一個(gè)需要驗(yàn)證的項(xiàng)。如果用于接收請(qǐng)求的設(shè)備未識(shí)別功能碼,則會(huì)返回異常。如果功能碼被接受,則從設(shè)備會(huì)根據(jù)功能定義開(kāi)始分解數(shù)據(jù)。

由于數(shù)據(jù)包大小限制為 253 字節(jié),因此設(shè)備可傳輸?shù)臄?shù)據(jù)量有限。最常見(jiàn)的功能碼可以在從數(shù)據(jù)模型中傳輸 240 到 250 字節(jié)的實(shí)際數(shù)據(jù),具體取決于代碼。

由于數(shù)據(jù)包大小限制為 253 字節(jié),因此設(shè)備可傳輸?shù)臄?shù)據(jù)量有限。最常見(jiàn)的功能碼可以在從數(shù)據(jù)模型中傳輸 240 到 250 字節(jié)的實(shí)際數(shù)據(jù),具體取決于代碼。

4.2 訪問(wèn)數(shù)據(jù)

一般來(lái)說(shuō),Modbus 可訪問(wèn)的數(shù)據(jù)存儲(chǔ)在前面所提到的四個(gè)數(shù)據(jù)庫(kù)或地址范圍中的其中一個(gè):線圈、離散量輸入、保持寄存器 和 輸入寄存器。與許多規(guī)范一樣,這些名稱(chēng)可能因行業(yè)或應(yīng)用而異。例如,保持寄存器可能稱(chēng)為輸出寄存器,線圈可能稱(chēng)為數(shù)字或離散量輸出。這些數(shù)據(jù)庫(kù)定義了所包含數(shù)據(jù)的類(lèi)型和訪問(wèn)權(quán)限。從設(shè)備可以直接訪問(wèn)這些數(shù)據(jù),因?yàn)檫@些數(shù)據(jù)由設(shè)備本地托管。Modbus 可訪問(wèn)的數(shù)據(jù)通常是設(shè)備主存的一個(gè)子集。相反,Modbus 主設(shè)備必須通過(guò)各種功能碼請(qǐng)求訪問(wèn)這些數(shù)據(jù)。

通過(guò)這些區(qū)塊,我們可以限制或允許訪問(wèn)不同的數(shù)據(jù)元素,還可以在應(yīng)用層提供簡(jiǎn)化的機(jī)制來(lái)訪問(wèn)不同的數(shù)據(jù)類(lèi)型。

這些區(qū)塊是完全概念性的。它們可能作為獨(dú)立的內(nèi)存地址存在于給定的系統(tǒng)中,但也可能重疊。例如,線圈1可能存在于與保持寄存器1所代表的字的第一位相同的內(nèi)存中。尋址方案完全由從設(shè)備定義,其對(duì)每個(gè)內(nèi)存區(qū)塊的解釋是設(shè)備數(shù)據(jù)模型的重要組成部分。

4.3 數(shù)據(jù)模型尋址

該規(guī)范將每個(gè)區(qū)塊定義為包含多達(dá) 65536 個(gè)元素的地址空間。在 PDU 的定義中,Modbus 定義了每個(gè)數(shù)據(jù)元素的地址,范圍是從 0 到 65535。然而,每個(gè)數(shù)據(jù)元素的編號(hào)從 1 到 n,其中 n 的最大值為 65536。也就是說(shuō),線圈 1 位于地址 0 的線圈區(qū)塊中,而保持寄存器 54 位于從設(shè)備定義為保持寄存器的內(nèi)存部分中的地址 53。

規(guī)范允許的全部范圍不需要給定設(shè)備實(shí)現(xiàn)。例如,設(shè)備可能會(huì)選擇不執(zhí)行線圈、離散量輸入或輸入寄存器,而只使用保持寄存器 150 至 175 和 200 至 225。這是完全可以接受的,而且可以通過(guò)例外來(lái)處理無(wú)效的訪問(wèn)嘗試。

4.3.1 數(shù)據(jù)尋址范圍

雖然規(guī)范將不同的數(shù)據(jù)類(lèi)型定義為存在于不同的區(qū)塊中,并為每種類(lèi)型分配一個(gè)本地地址范圍,但這并不一定能轉(zhuǎn)化為用于記錄或了解給定設(shè)備的 Modbus 可訪問(wèn)內(nèi)存的直觀尋址方案。為了簡(jiǎn)化對(duì)內(nèi)存區(qū)塊位置的理解,我們引入了一種編號(hào)方案,即向所討論數(shù)據(jù)的地址中添加前綴。

例如,在設(shè)備手冊(cè)中,數(shù)據(jù)項(xiàng)不會(huì)表示為位于地址 13 的保持寄存器 14,而是表示為位于地址 4014、40014或 400014 的數(shù)據(jù)項(xiàng)。在這幾種情況中,第一個(gè)數(shù)字都是4,表示保持寄存器,其余數(shù)字則用于指定地址。4XXX、4XXXX 和 4XXXXX 的區(qū)別取決于設(shè)備所用的地址空間。如果 65,536 個(gè)寄存器全部都在使用,應(yīng)該使用 4XXXXX 符號(hào),因?yàn)樗С?400,001 到 465,536 的范圍。如果只有幾個(gè)寄存器在使用,通常的做法是使用 4,001 到 4,999 的范圍。

在這種尋址方案中,每種數(shù)據(jù)類(lèi)型都被分配了一個(gè)前綴,如下表所示。

數(shù)據(jù)區(qū)塊 前綴
線圈 0
離散量輸入 1
輸入寄存器 3
保持寄存器 4

線圈的前綴為 0,這意味著 4001 的引用可能是指保持寄存器 1 或線圈 4001。出于這個(gè)原因,建議所有的新實(shí)現(xiàn)都使用帶前導(dǎo)零的 6 位數(shù)尋址,并在記錄時(shí)注明這一點(diǎn)。因此,保持寄存器 1 的地址為 400001,而線圈 4001 的地址則為 004001。

4.3.2 數(shù)據(jù)地址起始值

內(nèi)存地址和引用編號(hào)之間的差異會(huì)因給定應(yīng)用程序選擇的索引而進(jìn)一步復(fù)雜化。如前所述,保持寄存器 1 位于地址 0。通常,引用編號(hào)索引從 1 開(kāi)始,這意味著給定范圍的起始值為 1。因此,400001 即表示位于地址 0 的保持寄存器 00001。一些實(shí)現(xiàn)選擇以 0 作為范圍起始值,即 400000 表示位于地址 0 的保持寄存器。下表展示了這個(gè)概念。

地址 寄存器編號(hào) 編號(hào)(索引從 1 開(kāi)始,標(biāo)準(zhǔn)) 編號(hào)(索引從 0 開(kāi)始,備選)
0 1 400001 400000
1 2 400002 400001
2 3 400003 400002

從 1 開(kāi)始的索引范圍應(yīng)用較為廣泛,強(qiáng)烈建議采用這種方案。無(wú)論哪種情況,每個(gè)范圍的起始值都應(yīng)在記錄時(shí)注明。

4.4 大數(shù)據(jù)類(lèi)型

Modbus 標(biāo)準(zhǔn)提供了一個(gè)相對(duì)簡(jiǎn)單的數(shù)據(jù)模型,該模型不包含除無(wú)符號(hào)雙字節(jié)整型和位值之外的其他數(shù)據(jù)類(lèi)型。如果系統(tǒng)的位值對(duì)應(yīng)于螺線管和繼電器,并且雙字節(jié)整型值對(duì)應(yīng)于未縮放的 ADC 值,上述模型便已足夠;但對(duì)于更高級(jí)的系統(tǒng),則無(wú)法滿(mǎn)足需求。

因此,許多 Modbus 實(shí)現(xiàn)都包含跨寄存器邊界的數(shù)據(jù)類(lèi)型。NI LabVIEW 數(shù)據(jù)記錄和監(jiān)控(DSC)模塊以及 KEPServerEX 都定義了許多引用類(lèi)型。例如,存儲(chǔ)在保持寄存器中的字符串應(yīng)遵循標(biāo)準(zhǔn)格式(400,001),但后跟一個(gè)十進(jìn)制數(shù)、長(zhǎng)度和字符串的字節(jié)序(400001.2H 是指保持寄存器 1 中包含兩個(gè)字符的字符串,其中高位字節(jié)對(duì)應(yīng)到字符串的第一個(gè)字符)。這是必需的,因?yàn)槊總€(gè)請(qǐng)求的大小都是有限的,所以 Modbus 主設(shè)備必須知道字符串的確切范圍,而不是搜索長(zhǎng)度或分隔符(如 NULL)。

4.4.1 位訪問(wèn)

除了允許訪問(wèn)跨寄存器邊界的數(shù)據(jù)之外,一些 Modbus 主設(shè)備還支持對(duì)寄存器中各個(gè)位的引用。由于允許設(shè)備將相同內(nèi)存范圍內(nèi)的每種類(lèi)型的數(shù)據(jù)組合在一起,而不必將二進(jìn)制數(shù)據(jù)分成線圈和離散量輸入范圍,因此該功能非常有益。通常使用小數(shù)點(diǎn)和位索引或編號(hào)進(jìn)行索引,具體取決于如何實(shí)現(xiàn)。也就是說(shuō),第一個(gè)寄存器的第一位可能是 400,001.00 或 400,001.01。建議所有文檔均說(shuō)明所使用的索引方案。

4.4.2 數(shù)據(jù)字節(jié)序

通過(guò)將數(shù)據(jù)拆分到兩個(gè)寄存器,多寄存器數(shù)據(jù)(如單精度浮點(diǎn)值)可以輕松地通過(guò) Modbus 進(jìn)行傳輸。由于這不是由標(biāo)準(zhǔn)定義的,因此此類(lèi)拆分的字節(jié)序未作規(guī)定。盡管每個(gè)無(wú)符號(hào)雙字節(jié)整型必須以網(wǎng)絡(luò)(大端)字節(jié)序發(fā)送才能滿(mǎn)足標(biāo)準(zhǔn),但許多設(shè)備會(huì)顛倒多字節(jié)數(shù)據(jù)的字節(jié)序。下圖所示的范例雖然不太常見(jiàn),但有效地展示了這一觀點(diǎn)。

wKgaombzyn-AMa0UAAE-mZeVAGM136.jpg

4.4.3 字符串

字符串可以輕松地存儲(chǔ)在 Modbus 寄存器中。為了簡(jiǎn)單起見(jiàn),某些實(shí)現(xiàn)方法要求字符串長(zhǎng)度為 2 的倍數(shù),并使用空值來(lái)填充額外的空間。字節(jié)序也是字符串交互中的一個(gè)變量。字符串格式可能包含也可能不包含 NULL(作為最終值)。舉個(gè)例子,一些設(shè)備的數(shù)據(jù)存儲(chǔ)方法可能如下圖所示。

wKgZombzyoCAQFt_AAGaUh_ChXU845.jpg

4.5 從設(shè)備功能執(zhí)行

正如由數(shù)據(jù)模型所定義,不同的功能會(huì)訪問(wèn)不同的概念數(shù)據(jù)塊。一種常見(jiàn)的做法是讓代碼訪問(wèn)靜態(tài)內(nèi)存位置,但其他行為仍然可用。例如,功能碼 1(讀取線圈)和 3(讀取保持寄存器)可以訪問(wèn)內(nèi)存中相同的物理位置。而功能碼 3(讀取保持寄存器)和 16(寫(xiě)入保持寄存器)可以訪問(wèn)內(nèi)存中完全不同的位置。因此,建議在定義從數(shù)據(jù)模型時(shí)考慮每個(gè)功能碼的執(zhí)行情況。

無(wú)論執(zhí)行的是何種實(shí)際行為,所有從設(shè)備都應(yīng)遵循每個(gè)請(qǐng)求的簡(jiǎn)單狀態(tài)圖。下圖是功能碼 1(讀取線圈)的狀態(tài)圖范例。

wKgaombzyoGAXy8sAAIId2jKC8s246.jpg

每個(gè)從設(shè)備必須驗(yàn)證功能碼、輸入數(shù)量、起始地址、總范圍以及實(shí)際進(jìn)行讀取行為的從屬定義功能的執(zhí)行。

盡管上面的狀態(tài)圖包含了靜態(tài)地址范圍,但真實(shí)系統(tǒng)的需求可能會(huì)導(dǎo)致靜態(tài)地址范圍與所定義編號(hào)有所不同。在某些情況下,從設(shè)備無(wú)法傳輸協(xié)議所定義的最大字節(jié)數(shù)。也就是說(shuō),如果主設(shè)備請(qǐng)求 0x07D0 輸入,從設(shè)備只能用 0x0400 進(jìn)行響應(yīng)。同樣,從數(shù)據(jù)模型能夠?qū)⒖山邮芫€圈值的范圍定義為地址 0 到 500。如果主設(shè)備從地址 0 開(kāi)始請(qǐng)求 125,則沒(méi)有問(wèn)題,但如果主設(shè)備從地址 400 開(kāi)始發(fā)出相同的請(qǐng)求,最后一個(gè)線圈將位于地址 525,這無(wú)疑超出了該設(shè)備的范圍,因而會(huì)出現(xiàn)狀態(tài)圖所定義的異常 02。

4.6 應(yīng)用數(shù)據(jù)單元

除了 Modbus 協(xié)議的 PDU 核心所定義的功能外,我們還可以使用多種網(wǎng)絡(luò)協(xié)議。最常見(jiàn)的協(xié)議是串行和 TCP/IP,但也可以使用 UDP 等其他協(xié)議。為了在這些層之間傳輸 Modbus 所需的數(shù)據(jù),Modbus 包含一組專(zhuān)為每種網(wǎng)絡(luò)協(xié)議量身定制的 ADU。

4.6.1 通用特征

Modbus 需要特定的功能來(lái)提供可靠的通信。每種 ADU 格式都需要使用單元 ID 或地址,以便為應(yīng)用層提供路由信息。每個(gè) ADU 都帶有一個(gè)完整的 PDU,其中包含給定請(qǐng)求的功能碼和相關(guān)數(shù)據(jù)。為了保證可靠性,每條消息都包含錯(cuò)誤檢查信息。最后,所有的 ADU 都提供了一種機(jī)制來(lái)確定請(qǐng)求幀的開(kāi)始和結(jié)束,但實(shí)現(xiàn)方式各不相同。

4.6.2 標(biāo)準(zhǔn)格式

ADU 的三種標(biāo)準(zhǔn)格式分別是 TCP、遠(yuǎn)程終端單元(RTU)和ASCII。RTU 和 ASCII ADU 通常用于串行線路,而 TCP 則用于現(xiàn)代 TCP/IP 或 UDP/IP 網(wǎng)絡(luò)。

無(wú)論是三種傳輸模式中的哪一種,Modbus 幀格式都是一樣的:

wKgZombzyoKAN3HzAAEIvlw0pMM423.jpg

Modbus 數(shù)據(jù)幀主要包括:

地址域:1 字節(jié),即從機(jī)設(shè)備地址,通常 1-247 為有效地址,0 為廣播地址

功能碼:1 字節(jié),表明主機(jī)請(qǐng)求數(shù)據(jù)的類(lèi)型。

數(shù)據(jù):N 字節(jié),包含寄存器地址和寄存器數(shù)據(jù)等。

差錯(cuò)校驗(yàn):對(duì)數(shù)據(jù)進(jìn)行冗余校驗(yàn)的結(jié)果,CRC 或 LRC。

4.6.2.1 Modbus-ASCII

Modbus-ASCII 傳輸模式中,每個(gè)字節(jié)均以 ASCII 編碼,實(shí)際報(bào)文中 1 個(gè)字節(jié)會(huì)以?xún)蓚€(gè) ASCII 字符發(fā)送,因此這種模式比 Modbus-RTU 模式效率要低。

例如報(bào)文數(shù)據(jù) 0x5B = "5" + "B" = 0X35 + 0X42。數(shù)據(jù)幀格式如下:

wKgaombzyoOAChlxAABRV3Lpre8573.jpg

從 ASCII 數(shù)據(jù)幀可以看出,ASCII 模式增加了幀起始(“:”)和幀結(jié)束標(biāo)志(回車(chē)&換行),由于報(bào)文數(shù)據(jù)每個(gè)字節(jié)在 ASCII 模式下需要 2個(gè)字符進(jìn)行編碼,為了保證 ASCII 模式和 RTU 模式在應(yīng)用級(jí)兼容,ASCII 模式數(shù)據(jù)塊最大長(zhǎng)度為 252*2,所以可以計(jì)算出報(bào)文幀最大長(zhǎng)度為 1+2+2+2x252+2+2=513 字符,報(bào)文幀內(nèi)的字符間隔時(shí)間可以達(dá) 1 秒鐘。

Modbus-ASCII 模式校驗(yàn)方法采用的是縱向冗余校驗(yàn)(LRC,Longitudinal Redundancy Checking)算法,校驗(yàn)內(nèi)容不包括幀起始和幀結(jié)束字符。

計(jì)算方法也比較簡(jiǎn)單,對(duì)校驗(yàn)內(nèi)容進(jìn)行累加和計(jì)算,忽略進(jìn)位,并轉(zhuǎn)換為二進(jìn)制補(bǔ)碼:

例如 Modbus-ASCII 模式,主機(jī)發(fā)送請(qǐng)求,向地址為 1 的從設(shè)備的 0x405 地址,寫(xiě)入數(shù)值 0x1234,報(bào)文如下:

:010604051234AA

即:

:01 06 04 05 12 34 AA

可以看到01表示設(shè)備地址,06表示寫(xiě)單個(gè)保持寄存器。地址為0x0405,數(shù)據(jù)為0x1234,LRC校驗(yàn)值為0xAA。實(shí)際進(jìn)行校驗(yàn)的數(shù)據(jù)不包含幀頭和幀尾。

0xAA = LRC(01, 06, 04, 05, 12, 34)

手動(dòng) LRC 計(jì)算方法:

把原始數(shù)據(jù)兩個(gè)字符組成一個(gè)字節(jié),并進(jìn)行二進(jìn)制加法計(jì)算:01+06+04+05+12+34=0x56,計(jì)算二進(jìn)制補(bǔ)碼:

0x56 = 0101 0110

取反:1010 1001

加1: 1010 1010 = 0xAA

或者:0x100-0x56 = 0xAA

或者可以利用在線工具計(jì)算:LRC校驗(yàn)碼在線計(jì)算器。

4.6.2.2 Modbus-RTU

Modbus-RTU 數(shù)據(jù)幀,幀長(zhǎng)度最大為 256 字節(jié),由以下四部分構(gòu)成:

子節(jié)點(diǎn)地址:1 字節(jié),范圍 0-247。

功能代碼:1 字節(jié)。

數(shù)據(jù)塊:0-252 字節(jié)。

CRC校驗(yàn)值:2 字節(jié),低 8 位在前。

wKgZombzyoSATNkgAABGCz0goCs642.jpg

Modbus-RTU 幀間隔,Modbus-RTU 要求兩個(gè) RTU 報(bào)文幀間隔要大于 3.5 個(gè)字節(jié)時(shí)間:

wKgaombzyoWAWA5lAAEGiS6DlAA670.jpg

ModbusRTU 幀間隔且每個(gè)報(bào)文幀內(nèi)字節(jié)間隔小于 1.5 個(gè)字節(jié)時(shí)間,否則會(huì)認(rèn)為接收不完整。

wKgZombzyoeAK_4PAADnXWslkOg855.jpg

Modbus-RTU采用循環(huán)冗余校驗(yàn) (CRC - Cyclical Redundancy Checking) 算法對(duì)報(bào)文幀全部數(shù)據(jù)進(jìn)行計(jì)算,得到的校驗(yàn)值附加在報(bào)文幀末尾,低位在前。CRC-16_Modbus計(jì)算方法可以參考:CRC-16_Modbus校驗(yàn)算法。

也可以用在線工具:16進(jìn)制(CRC16)(MODBUS RTU通訊)校驗(yàn)碼在線計(jì)算器 計(jì)算 CRC 校驗(yàn)值。

例一:寫(xiě)單個(gè)寄存器。向 01 地址設(shè)備 0x0105 保持寄存器寫(xiě)入 1 個(gè)數(shù)據(jù):0x0190

主機(jī)發(fā)送: 01 06 01 05 01 90 99 CB

從機(jī)回復(fù): 01 06 01 05 01 90 99 CB

其中,01 表示從機(jī)地址,06 功能碼表示寫(xiě)單個(gè)保持寄存器,0105 表示寄存器地址,0190 表示寫(xiě)入寄存器的數(shù)值,99CB 為 CRC 校驗(yàn)值??梢钥闯?,當(dāng)寫(xiě) 1 個(gè)寄存器數(shù)據(jù)時(shí),從機(jī)響應(yīng)的數(shù)據(jù)幀和主機(jī)發(fā)送的數(shù)據(jù)幀完成一致。

例二:寫(xiě)多個(gè)寄存器。向 01 地址設(shè)備 0x0105、0x0106、0x0107 地址保持寄存器,寫(xiě)入 3 個(gè)寄存器數(shù)據(jù):0x1102、0x0304、0x0566。

主機(jī)發(fā)送:01 10 01 05 00 03 06 11 02 03 04 05 66 4a 12

從機(jī)回復(fù):01 10 01 05 00 03 91 f5

同理,01 從機(jī)地址,10 功能碼表示寫(xiě)多個(gè)保持寄存器,0105 表示起始地址,0003 表示寫(xiě) 3 個(gè)寄存器,06 表示數(shù)據(jù)量為 6 個(gè)字節(jié),1102/0304/0566 分別表示寫(xiě)入 3 個(gè)寄存器的數(shù)值,4a12 表示 CRC 校驗(yàn)數(shù)值。

可以看出,寫(xiě)多個(gè)寄存器時(shí)使用 10 功能碼,從機(jī)回復(fù)數(shù)據(jù)也比較精簡(jiǎn)。

例三:讀單個(gè)寄存器。讀 01 地址設(shè)備 0x0105 保持寄存器數(shù)據(jù)。

主機(jī)發(fā)送:01 03 01 05 00 01 95 f7

從機(jī)回復(fù):01 03 02 56 78 87 c6

主機(jī)發(fā)送數(shù)據(jù)中,03 表示讀多個(gè)寄存器,0105 表示起始地址,0001 表示讀 1 個(gè)寄存器。

從機(jī)回復(fù)值中,02 表示 2 個(gè)字節(jié),56 78 表示寄存器的數(shù)據(jù)。

例四:讀多個(gè)寄存器。讀 01 地址設(shè)備 0x0105、0x0106、0x0107 地址保持寄存器,共 3 個(gè)寄存器數(shù)據(jù)。

主機(jī)發(fā)送:01 03 01 05 00 03 14 36

從機(jī)回復(fù):01 03 06 11 22 33 44 55 66 2a 18

03 表示讀多個(gè)寄存器,0105 表示起始地址,0003 表示讀 3 個(gè)寄存器。

06 表示 6 個(gè)字節(jié),11 22 33 44 55 66 表示寄存器的數(shù)據(jù)。

4.6.2.3 Modbus-TCP

Modbus-TCP 基于以下種報(bào)文類(lèi)型:

MODBUS 請(qǐng)求:客戶(hù)機(jī)在網(wǎng)絡(luò)上發(fā)送用來(lái)啟動(dòng)事務(wù)處理的報(bào)文

MODBUS 證實(shí):在客戶(hù)端接收的響應(yīng)信息

MODBUS 指示:服務(wù)端接收的請(qǐng)求報(bào)文

MODBUS 響應(yīng):服務(wù)器發(fā)送的響應(yīng)信息

wKgaombzyoqALcdtAACZP7c6dVo507.jpg

wKgZombzyo-AUdh7AACvN7_XMnY890.jpg

報(bào)文頭的數(shù)據(jù)字段代表其用途。首先,它包含一個(gè)事務(wù)處理標(biāo)識(shí)符。這有助于網(wǎng)絡(luò)允許同時(shí)發(fā)生多個(gè)未處理的請(qǐng)求。也就是說(shuō),主設(shè)備可以發(fā)送請(qǐng)求 1、2 和 3。在稍后的時(shí)間點(diǎn),從設(shè)備能以 2、1、3 的順序進(jìn)行響應(yīng),并且主設(shè)備可以將請(qǐng)求匹配到響應(yīng)并準(zhǔn)確解析數(shù)據(jù)。這對(duì)于以太網(wǎng)網(wǎng)絡(luò)來(lái)說(shuō)很有用。

協(xié)議標(biāo)識(shí)符通常為零,但您可以使用它來(lái)擴(kuò)展協(xié)議的行為。協(xié)議使用長(zhǎng)度字段來(lái)描述數(shù)據(jù)包其余部分的長(zhǎng)度。此元素的位置也表明了這個(gè)報(bào)文頭格式在可靠網(wǎng)絡(luò)層上的依賴(lài)關(guān)系。由于 TCP 數(shù)據(jù)包具有內(nèi)置的錯(cuò)誤檢查功能,并可確保數(shù)據(jù)一致性和傳遞,因此數(shù)據(jù)包長(zhǎng)度可位于報(bào)文頭的任何位置。在可靠性較差的網(wǎng)絡(luò)上(比如串行網(wǎng)絡(luò)),數(shù)據(jù)包可能會(huì)丟失,其影響是即使應(yīng)用程序讀取的數(shù)據(jù)流包含有效的事務(wù)處理和協(xié)議信息,長(zhǎng)度信息的損壞也會(huì)使報(bào)文頭無(wú)效。TCP 為這種情況提供了適當(dāng)?shù)谋Wo(hù)。

TCP/IP 設(shè)備通常不使用單元 ID。但是,Modbus 是一種常見(jiàn)的協(xié)議,因此通常會(huì)開(kāi)發(fā)許多網(wǎng)關(guān)來(lái)將 Modbus 協(xié)議轉(zhuǎn)換為其他協(xié)議。在最初的預(yù)期應(yīng)用中,Modbus TCP/IP 轉(zhuǎn)串行網(wǎng)關(guān)用于連接新的TCP/IP網(wǎng)絡(luò)與舊的串行網(wǎng)絡(luò)。在這種環(huán)境中,單元 ID用于確定 PDU 實(shí)際對(duì)應(yīng)的從設(shè)備的地址。

最后,ADU 還包含一個(gè) PDU。對(duì)于標(biāo)準(zhǔn)協(xié)議,PDU 的長(zhǎng)度仍限制為 253 字節(jié)。

Modbus 協(xié)議中主機(jī)可以以?xún)煞N模式對(duì)從機(jī)設(shè)備發(fā)出請(qǐng)求:?jiǎn)尾ズ蛷V播。

4.6.2.3.1 單播模式

在單播模式下,從機(jī)地址必須唯一,地址范圍 1-247。主機(jī)以特定地址訪問(wèn)指定的某個(gè)從機(jī),發(fā)出一個(gè)請(qǐng)求數(shù)據(jù)幀,這個(gè)數(shù)據(jù)幀功能可以是讀取或?qū)懭霐?shù)據(jù),從機(jī)接收到并處理完成后,會(huì)回報(bào)一個(gè)應(yīng)答數(shù)據(jù)幀,以表示讀取或?qū)懭氤晒Α?/p>

wKgaombzypGAKKSAAAEX3PC4ROQ306.jpg

4.6.2.3.2 廣播模式

在廣播模式下,主機(jī)向所有的從機(jī)發(fā)出請(qǐng)求數(shù)據(jù)幀,所有的從機(jī)都會(huì)處理這條命令,對(duì)于廣播請(qǐng)求,所有的從機(jī)無(wú)需做出應(yīng)答操作。一般地址 0 表示廣播地址。

wKgZombzypGAdtknAAEy3Tkt9Xg128.jpg

五 Modbus組件的使用

1 Gitee鏈接地址

組件位于amaziot_bloom_os_sdklibrariesamxtuam_modbus.c

第三方組件位于amaziot_bloom_os_sdklibrariesthird_partyagile_modbus-1.1.3,可以在github上查找相關(guān)api文檔。

Gitee源碼地址:https://gitee.com/ning./hongdou

Github源碼地址:https://github.com/ayumid/hongdou

2 應(yīng)用層組件功能介紹

提供Modbus實(shí)例。實(shí)現(xiàn)輪詢(xún)。

使用該組件,必須同時(shí)使用AT組件,文件組件,TCP組件,掉線組件,掉線重連組件,心跳組件,JSON組件,Modbus組件。

3 代碼講解

1 dtu_modbus_task_init

初始化modbus任務(wù)

void dtu_modbus_task_init(void)
{
    OSA_STATUS status = 0;

    /*creat message*/
    status = OSAMsgQCreate(&dtu_modbus_msgq, "dtu_modbus_msgq", DTU_MODBUS_TASK_MSGQ_MSG_SIZE, DTU_MODBUS_TASK_MSGQ_QUEUE_SIZE, OS_FIFO);
    ASSERT(status == OS_SUCCESS);

    status = OSATaskCreate(&dtu_modbus_msgq_task_ref, dtu_modbus_task_stack, DTU_MODBUS_TASK_STACK_SIZE, 161, "modbus_task", dtu_modbus_task, NULL);
    ASSERT(status == OS_SUCCESS);
}

2 dtu_modbus_task

modbus主任務(wù),接收發(fā)送Modbus數(shù)據(jù)

static void dtu_modbus_task(void *ptr)
{
    OSA_STATUS status = 0;
    int ret = 0;
    int i = 0;
//    int id = 0;
    int send_len = 0;
    DTU_MSG_UART_DATA_PARAM_T uart_data = {0};
    uint8_t ctx_send_buf[AGILE_MODBUS_MAX_ADU_LENGTH];
    uint8_t ctx_read_buf[1];
//    uint8_t send[100] = {0};
//    uint8_t recv[100] = {0};
//    uint8_t* temp = NULL;
    DTU_FILE_PARAM_T* dtu_file_ctx = NULL;

    dtu_file_ctx = dtu_get_file_ctx();
    
    agile_modbus_rtu_t ctx_dtu = {0};
    agile_modbus_t *ctx = &ctx_dtu._ctx;
    agile_modbus_rtu_init(&ctx_dtu, ctx_send_buf, sizeof(ctx_send_buf), ctx_read_buf, sizeof(ctx_read_buf));

    dtu_modbus_interval_timer_init();
    st_dtu_md.state = DTU_MODBUS_POOLLING_STATE;

    if(DTU_MODBUS_TYPE_ENABLE == dtu_file_ctx->modbus.config.type)
    {
        dtu_modbus_interval_timer_start();
    }
//#define AGILE_MODBUS_FC_READ_COILS               0x01
//#define AGILE_MODBUS_FC_READ_DISCRETE_INPUTS     0x02
//#define AGILE_MODBUS_FC_READ_HOLDING_REGISTERS   0x03
//#define AGILE_MODBUS_FC_READ_INPUT_REGISTERS     0x04
//#define AGILE_MODBUS_FC_WRITE_SINGLE_COIL        0x05
//#define AGILE_MODBUS_FC_WRITE_SINGLE_REGISTER    0x06
//#define AGILE_MODBUS_FC_READ_EXCEPTION_STATUS    0x07
//#define AGILE_MODBUS_FC_WRITE_MULTIPLE_COILS     0x0F
//#define AGILE_MODBUS_FC_WRITE_MULTIPLE_REGISTERS 0x10
//#define AGILE_MODBUS_FC_REPORT_SLAVE_ID          0x11
//#define AGILE_MODBUS_FC_MASK_WRITE_REGISTER      0x16
//#define AGILE_MODBUS_FC_WRITE_AND_READ_REGISTERS 0x17
    while(1)
    {
        //阻塞1s等待從機(jī)回復(fù)的數(shù)據(jù)
        status = OSAMsgQRecv(dtu_modbus_msgq, (void *)&uart_data, DTU_MODBUS_TASK_MSGQ_MSG_SIZE, OSA_SUSPEND);

        if(status == OS_SUCCESS)
        {
            if(DTU_MODBUS_TIMER_INTERVAL_MSG == uart_data.id)
            {
//                uprintf("%s[%d] timer msgrn", __FUNCTION__, __LINE__);
                if(DTU_MODBUS_POOLLING_STATE == st_dtu_md.state)
                {
                    //for循環(huán)查詢(xún)列表中哪些指令激活,按照激活指令參數(shù)配置 指令
                    for(i = st_dtu_md.id; i < DTU_MODBUS_CMD_NUM; i++)
                    {
                        //當(dāng)前列表第i指令被激活
                        if(DTU_MODBUS_ACTIVE == dtu_file_ctx-?>modbus.cmd[i].active)
                        {
                            //設(shè)置從機(jī)地址
                            agile_modbus_set_slave(ctx, dtu_file_ctx->modbus.cmd[i].slave_addr);
                            uprintf("%s[%d] slave addr: %d id: %d cmd: 0x%02Xn", __FUNCTION__, __LINE__, dtu_file_ctx->modbus.cmd[i].slave_addr, st_dtu_md.id + 1, dtu_file_ctx->modbus.cmd[i].fn);
                        }
                        else
                        {
//                            uprintf("%s[%d] none cmd continue i:%d id: %dn", __FUNCTION__, __LINE__, i + 1, st_dtu_md.id);
                            st_dtu_md.id = i + 1;
                            continue;
                        }
                        //根據(jù)指令01 來(lái)組包
                        if(dtu_file_ctx->modbus.cmd[i].fn == AGILE_MODBUS_FC_READ_COILS)
                        {
                            //組包數(shù)據(jù)
                            send_len = agile_modbus_serialize_read_bits(ctx, dtu_file_ctx->modbus.cmd[i].reg_addr, dtu_file_ctx->modbus.cmd[i].reg_n_d);
                        }
                        //根據(jù)指令02 來(lái)組包
                        else if(dtu_file_ctx->modbus.cmd[i].fn == AGILE_MODBUS_FC_READ_DISCRETE_INPUTS)
                        {
                            //組包數(shù)據(jù)
                            send_len = agile_modbus_serialize_read_input_bits(ctx, dtu_file_ctx->modbus.cmd[i].reg_addr, dtu_file_ctx->modbus.cmd[i].reg_n_d);
                        }
                        //根據(jù)指令03 來(lái)組包
                        else if(dtu_file_ctx->modbus.cmd[i].fn == AGILE_MODBUS_FC_READ_HOLDING_REGISTERS)
                        {
                            //組包數(shù)據(jù)
                            send_len = agile_modbus_serialize_read_registers(ctx, dtu_file_ctx->modbus.cmd[i].reg_addr, dtu_file_ctx->modbus.cmd[i].reg_n_d);
                        }
                        //根據(jù)指令04 來(lái)組包
                        else if(dtu_file_ctx->modbus.cmd[i].fn == AGILE_MODBUS_FC_READ_INPUT_REGISTERS)
                        {
                            //組包數(shù)據(jù)
                            send_len = agile_modbus_serialize_read_input_registers(ctx, dtu_file_ctx->modbus.cmd[i].reg_addr, dtu_file_ctx->modbus.cmd[i].reg_n_d);
                        }
                        //根據(jù)指令05 來(lái)組包
                        else if(dtu_file_ctx->modbus.cmd[i].fn == AGILE_MODBUS_FC_WRITE_SINGLE_COIL)
                        {
                            //組包數(shù)據(jù)
                            send_len = agile_modbus_serialize_write_bit(ctx, dtu_file_ctx->modbus.cmd[i].reg_addr, dtu_file_ctx->modbus.cmd[i].reg_n_d);
                        }
                        //根據(jù)指令06 來(lái)組包
                        else if(dtu_file_ctx->modbus.cmd[i].fn == AGILE_MODBUS_FC_WRITE_SINGLE_REGISTER)
                        {
                            //組包數(shù)據(jù)
                            send_len = agile_modbus_serialize_write_register(ctx, dtu_file_ctx->modbus.cmd[i].reg_addr, dtu_file_ctx->modbus.cmd[i].reg_n_d);
                        }
//                        uprintf("%s[%d] find cmdrn", __FUNCTION__, __LINE__);
                        //當(dāng)前激活id,跳出這次循環(huán)
                        break;
                    }
                    st_dtu_md.id++;
//                    uprintf("%s[%d] sendbuf len: %d mdid: %drn", __FUNCTION__, __LINE__, send_len, st_dtu_md.id);
                    if(st_dtu_md.id > DTU_MODBUS_CMD_NUM)
                    {
                        st_dtu_md.id = 0;
                        st_dtu_md.state = DTU_MODBUS_POOLLING_WN_STATE;
                        uprintf("%s[%d] poolling  end", __FUNCTION__, __LINE__);
                        //停止modbus驅(qū)動(dòng)定時(shí)器
//                        dtu_modbus_interval_timer_stop();
                        //輪訓(xùn)完畢后,重新開(kāi)始之前等待時(shí)間
//                        dtu_sleep(dtu_file_ctx->modbus.config.delay);
                        //開(kāi)始modbus驅(qū)動(dòng)定時(shí)器
//                        dtu_modbus_interval_timer_start();
                    }
                    else
                    {
//                        uprintf("%s[%d] send md hexrn", __FUNCTION__, __LINE__);
//                        int j = 0;
//                        for(j = 0; j < send_len; j++)
//                        {
//                            uprintf("reg data[%d]: %Xrn", j, ctx-?>send_buf[j]);
//                        }
                        //發(fā)送數(shù)據(jù)到串口
                        UART_SEND_DATA(ctx->send_buf, send_len);
                    }
                }
                else if(DTU_MODBUS_POOLLING_WN_STATE == st_dtu_md.state)
                {
                    //for循環(huán)查詢(xún)列表中哪些指令激活,按照激活指令參數(shù)配置 指令
                    for(i = st_dtu_md.id; i < DTU_MODBUS_CMD_WN_NUM; i++)
                    {
                        //當(dāng)前列表第i指令被激活
                        if(DTU_MODBUS_ACTIVE == dtu_file_ctx-?>modbus.cmd_wn[i].active)
                        {
                            //設(shè)置從機(jī)地址
                            agile_modbus_set_slave(ctx, dtu_file_ctx->modbus.cmd_wn[i].slave_addr);
                            uprintf("%s[%d] slave addr: %d id: %d cmd: 0x%02X", __FUNCTION__, __LINE__, dtu_file_ctx->modbus.cmd_wn[i].slave_addr, st_dtu_md.id + 1, dtu_file_ctx->modbus.cmd_wn[i].fn);
                        }
                        else
                        {
//                            uprintf("%s[%d] none cmd continue i:%d id: %dn", __FUNCTION__, __LINE__, i + 1, st_dtu_md.id);
                            st_dtu_md.id = i + 1;
                            continue;
                        }
                        
                        //根據(jù)指令0F 來(lái)組包
//                        if(dtu_file_ctx->modbus.cmd_wn[i].fn == AGILE_MODBUS_FC_WRITE_MULTIPLE_COILS)
//                        {
//                            uprintf("cmd 0x10 regnum: %drn", dtu_file_ctx->modbus.cmd_wn[i].reg_n_d);
                            //組包數(shù)據(jù)
//                            send_len = agile_modbus_serialize_write_registers(ctx, dtu_file_ctx->modbus.cmd_wn[i].reg_addr, dtu_file_ctx->modbus.cmd_wn[i].reg_n, (UINT16*)&dtu_file_ctx->modbus.cmd_wn[i].reg_data);
//                            int j = 0;
//                            for(j = 0; j < dtu_file_ctx-?>modbus.cmd_wn[i].reg_n_d; j++)
//                            {
//                                uprintf("reg data[%d]: %Xrn", j, dtu_file_ctx->modbus.cmd_wn[i].reg_data[j]);
//                            }
//                        }
                        //根據(jù)指令10 來(lái)組包
                        if(dtu_file_ctx->modbus.cmd_wn[i].fn == AGILE_MODBUS_FC_WRITE_MULTIPLE_REGISTERS)
                        {
//                            uprintf("cmd 0x10 regnum: %drn", dtu_file_ctx->modbus.cmd_wn[i].reg_n_d);
                            //組包數(shù)據(jù)
                            send_len = agile_modbus_serialize_write_registers(ctx, dtu_file_ctx->modbus.cmd_wn[i].reg_addr, dtu_file_ctx->modbus.cmd_wn[i].reg_n, (UINT16*)&dtu_file_ctx->modbus.cmd_wn[i].reg_data);
//                            int j = 0;
//                            for(j = 0; j < dtu_file_ctx-?>modbus.cmd_wn[i].reg_n_d; j++)
//                            {
//                                uprintf("reg data[%d]: %Xrn", j, dtu_file_ctx->modbus.cmd_wn[i].reg_data[j]);
//                            }
                        }
//                        uprintf("%s[%d] find cmdrn", __FUNCTION__, __LINE__);
                        //當(dāng)前激活id,跳出這次循環(huán)
                        break;
                    }
                    st_dtu_md.id++;
//                    uprintf("%s[%d] sendbuf len: %d mdid: %drn", __FUNCTION__, __LINE__, send_len, st_dtu_md.id);
                    if(st_dtu_md.id > DTU_MODBUS_CMD_WN_NUM)
                    {
                        st_dtu_md.id = 0;
                        st_dtu_md.state = DTU_MODBUS_POOLLING_STATE;
                        uprintf("%s[%d] wn poolling end", __FUNCTION__, __LINE__);
                        //停止modbus驅(qū)動(dòng)定時(shí)器
                        dtu_modbus_interval_timer_stop();
                        //輪訓(xùn)完畢后,重新開(kāi)始之前等待時(shí)間
                        dtu_sleep(dtu_file_ctx->modbus.config.delay);
                        //開(kāi)始modbus驅(qū)動(dòng)定時(shí)器
                        dtu_modbus_interval_timer_start();
                    }
                    else
                    {
//                        uprintf("%s[%d] send md hexrn", __FUNCTION__, __LINE__);
//                        int j = 0;
//                        for(j = 0; j < send_len; j++)
//                        {
//                            uprintf("reg data[%d]: %Xrn", j, ctx-?>send_buf[j]);
//                        }
                        //發(fā)送數(shù)據(jù)到串口
                        UART_SEND_DATA(ctx->send_buf, send_len);
                    }
                }
            }
            else if(DTU_MODBUS_DATA_MSG == uart_data.id && NULL != uart_data.UArgs)
            {
//                uprintf("%s[%d] modbus res", __FUNCTION__, __LINE__);
//                
//                UINT8* p = uart_data.UArgs;
//                for (i = 0; i < uart_data.len; i++)
//                {
//                    uprintf("0x%02X" , p[i]);
//                }
                
                //如果是modbus數(shù)據(jù),判斷目前是modbus rtu上報(bào)模式,modbus tcp上報(bào)模式,還是json上報(bào)格式
                if(DTU_MODBUS_RESPONSE_JSON_TYPE == dtu_file_ctx-?>modbus.config.res_type)
                {
                    uprintf("modbus json res");
                    dtu_modbus_json_modbus_res(uart_data.UArgs, uart_data.len);
                }
//                else if(DTU_MODBUS_RESPONSE_TCP_TYPE == dtu_file_ctx->modbus.config.res_type)
//                {
//                    //判斷是否是modbus一幀數(shù)據(jù)
//                    ret = dtu_modbus_protocol_check(uart_data.UArgs, uart_data.len);
//                    if(DTU_MODBUS_PROTOCOL_SUCCESS == ret)
//                    {
//                        uprintf("modbus tcp res");
//                    }
//                }
                else
                {
                    uprintf("modbus rtu res");
                    //modbus rtu幀,直接發(fā)
#ifdef DTU_BASED_ON_TCP
                    dtu_socket_write(uart_data.UArgs, uart_data.len);
#endif /* ifdef DTU_BASED_ON_TCP.2023-10-31 12:01:18 by: zhaoning */

#ifdef DTU_BASED_ON_MQTT
                    dtu_mqtt_send(uart_data.UArgs, uart_data.len);
#endif /* ifdef DTU_BASED_ON_MQTT.2023-10-31 12:01:28 by: zhaoning */
                }

                //釋放數(shù)據(jù)內(nèi)存
                free(uart_data.UArgs);
                //置空
                uart_data.UArgs = NULL;

            }
        }
    }
}

3 dtu_modbus_interval_timer_init

初始化指令間隔定時(shí)器,Modbus輪詢(xún)過(guò)程中每個(gè)指令之間的間隔時(shí)間

static void dtu_modbus_interval_timer_init(void)
{
    OSA_STATUS status = 0;
    
    //初始化指令間隔定時(shí)器
    status = OSATimerCreate(&st_dtu_md.md_timer_ref);
    ASSERT(status == OS_SUCCESS);
    
}

4 dtu_modbus_interval_timer_start

開(kāi)始指令間隔定時(shí)器

void dtu_modbus_interval_timer_start(void)
{
    DTU_FILE_PARAM_T* dtu_file_ctx = NULL;
    
    dtu_file_ctx = dtu_get_file_ctx();

    OSATimerStart(st_dtu_md.md_timer_ref, dtu_file_ctx->modbus.config.interval * 200, dtu_file_ctx->modbus.config.interval * 200 , dtu_modbus_interval_timer_callback, 0);
}

4 Demo實(shí)戰(zhàn)

4.1 創(chuàng)建一個(gè)Demo

復(fù)制20.9_di_xtu示例工程,到同一個(gè)文件夾下,修改文件名為20.12_json_xtu,如圖:

wKgaombzypKACJjTAACnpKDViEY683.jpg

4.2 修改makefile

增加文件組件所在目錄頭文件路徑,和源文件路徑,以及一些宏定義,如圖:

-D是makefile中定義宏定義必要的前綴,可以搜索相關(guān)makefile學(xué)習(xí)文章學(xué)習(xí)相關(guān)知識(shí)。

DTU_BASED_ON_TCP 表示當(dāng)前是TCP模式,當(dāng)前組件使用需要am.h中包含一些對(duì)應(yīng)。

DTU_TYPE_5X6 表示使用SOM板貼片5x6卡,可以使用其它卡,修改為對(duì)應(yīng)宏定義就可以。

DTU_UART_115200_BAUD 表示使用115200波特率

DTU_TYPE_JSON_INCLUDE 表示把JSON功能包含進(jìn)來(lái)

wKgZombzypOATvP7AAFNtSGr-Hs876.jpg

4.3 增加頭文件

使用代碼編輯器,將新建的工程文件加入代碼編輯器中,打開(kāi)main.c,修改main.c,加入am.h頭文件,如圖:

wKgaombzypSAe-t5AAAjjDrqVyc912.jpg

4.4 修改代碼

示例使用的是板載5x6卡,用戶(hù)的硬件可能是使用外置卡,或者是三合一全網(wǎng)通卡,因?yàn)橛布先N卡使用的模組SIM卡接口不一樣(外置卡SIM1,5x6卡和三合一卡SIM2),所以,需要通過(guò)一個(gè)全局變量來(lái)制定SIM卡硬件接口。

extern外部變量SDK_INIT_SIM_SELECT_VALUE,這里判斷了是否使用外置卡,這個(gè)宏定義在Makefile里面定義。

wKgZombzypyASgneAAAVMVRfTbM889.jpg

在Phase1Inits_enter中,宏定義判斷是否要包含對(duì)SDK_INIT_SIM_SELECT_VALUE變量的修改。

wKgaombzyp6ARNsaAAAhKBe8PfY265.jpg

在Phase2Inits_exit 調(diào)用文件組件提供的對(duì)外API,如圖:

wKgZombzyqCAM623AABqic6_Amk910.jpg

4.5 編譯

在SDK根目錄打開(kāi)命令行,輸入命令.build.bat -l .amaziot_bloom_os_sdksamplelibraries20.14_modbus_xtu

PS F:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08
λ .build.bat -l .amaziot_bloom_os_sdksamplelibraries20.14_modbus_xtu
子目錄或文件 outbin 已經(jīng)存在。
子目錄或文件 buildcJSON 已經(jīng)存在。
子目錄或文件 buildobj 已經(jīng)存在。
gnumake: Entering directory `F:/3.asr-b/cat.1-asr1606/1.software/BLOOM_OS_1606_OPENCPU_1191_A08/amaziot_bloom_os_sdk/sample/libraries/20.14_modbus_xtu'
armcc.exe -c  --cpu Cortex-R4 --no_unaligned_access -g -O2 --apcs /inter --diag_suppress 2084,1,2,177,188,223,550,1296,2795,6319,9931,9933 --diag_error=warning --gnu --thumb --loose_implicit_cast  -DDATA_COLLECTOR_IMPL -DISPT_OVER_SSP -DDIAG_SSP_DOUBLE_BUFFER_USE_DYNAMIC_ALLOCATION -DENV_XSCALE -DL1_DCXO_ENABLED -DLTE_HIGH_MOBILITY_OPTIMIZATION -DRUN_XIP_MODE -DCRANE_Z2 -DCA_LONG_IPC_MSG -DNEZHA3 -DNEZHA3_1826 -DUPGRADE_PLMS -DUPGRADE_PLMS_SR -DLTE_GSMMULTIBCCH -DGPLC_LTE_RSSI_SCAN -DL1V_NEW_RSSI -DUPGRADE_PLMS_3G -DUPGRADE_PLMS_L1 -DUPGRADE_FG_PLMS -DFG_PLMS_URR -DUPGRADE_L1A_FG_PLMS -DUPGRADE_PLMS_STAGE_2 -DUPGRADE_MBCCH -DMULTI_BCCH_READY_IND -DURR_MRAT_ICS_SEARCH -DUPGRADE_ICS -DMRAT_NAS -DUPGRADE_PLMS_SEARCH_API -DICS_MBCCH -DICS_MBCCH_2G_RSSI -DDIAG_NEWPP -DPHS_SW_DEMO -DPHS_SW_DEMO_TTC -DPHS_SW_DEMO_TTC_PM -DFULL_SYSTEM -D_DDR_INIT_ -D_TAVOR_HARBELL_ -DUPGRADE_ARBEL_PLATFORM -D_TAVOR_B0_SILICON_ -DTDL1C_SPY_ENABLE -DDLM_TAVOR -DTAVOR -DFLAVOR_DUALCORE -DDEBUG_D2_MOR_REG_RESEREVED_ENABLE -D_DIAG_USE_COMMSTACK_ -D_TAVOR_DIAG_ -DPM_DEBUG_MODE_ENABLED -DPM_D2FULL_MODE -DPM_EXT_DBG_INT_ARR -DFEATURE_WB_AMR_PS -DMACRO_FOR_LWG -DHL_LWG -DOPTIMIZE_FOR_2G_BCCH -DPLAT_TEST -D_FDI_USE_OSA_ -DPLAT_USE_THREADX -DLWIP_IPNETBUF_SUPPORT -DCRANE_MCU_DONGLE -DAT_OVER_UART -DPHS_SW_DEMO_TTC_PM -DUPGRADE_LTE_ONLY -DEXT_AT_MODEM_SUPPORT -DLTEONLY_THIN_SINGLE_SIM -DLFS_FILE_SYS -DLFS_FILE_SYS_V2 -DPSM_ENABLE -DNO_PAHO_MQTT -DNO_XML -DNO_LWM2M -DREMOVE_MBEDTLS -DNO_AT_NET -DCRANE_SD_NOT_SUPPORT -DNTP -DYMODEM_EEH_DUMP -DENABLE_DM_LTEONLY -DLTEONLY_THIN -DNO_EXTEND_MY_Q_AT -DNOT_SUPPORT_HTTPS -DNOT_SUPPORT_PM813 -DCRANEL_4MRAM -DREMOVE_PB -DUART_NEW_VERSION -DREMOVE_MEP -DREMOVE_SMS -DREMOVE_ENVSIM -DAPN_INCODE -DLTEONLY_THIN_SINGLE_SIM_2MFLASH -DASR160X_OPENCPU_FEATURE -DENABLE_UART3_FEATRUE -DENABLE_UART4_FEATRUE -DYUGE_MBEDTLS_3_2_1 -DENABLE_MAC_TX_DATA_LOGGING -DDISABLE_NVRAM_ACCESS -DINTEL_UPGRADE_EE_HANDLER_SUPPORT -DLTE_W_PS -DL1_DUAL_MODE -DUPGRADE_HERMON_DUAL -DINTEL_UPGRADE_DUAL_RAT -DINTEL_UPGRADE_GPRS_CIPHER_FLUSH -DUPGRADE_ENHANCED_QUAD_BAND -DINTEL_2CHIP_PLAT -DI_2CHIP_PLAT -DUPGRDE_TAVOR_COMMUNICATION -DRUN_WIRELESS_MODEM -DFLAVOR_DDR12MB_GB1MB5 -DFEATURE_SHMEM -DACIPC_ENABLE_NEW_CALLBACK_MECHANISM -DRELIABLE_DATA -DMAP_NSS -DTV_FNAME=""SW_PLATFORM=PMD2NONE PHS_SW_DEMO PHS_SW_DEMO_PM SRCNUCLEUS FULL_SYSTEM NOACRTC PDFLT PLAT_TEST PV2 DIAGOSHMEM NVM WITHL1V"" -DTV_FDESC=""SW_DESCRIPTION="" -DENABLE_ACIPC -D_DATAOMSL_ENABLED_ -DUSB_CABLE_DETECTION_VIA_PMIC -DMIPS_TEST -DMIPS_TEST_RAM -DFLAVOR_DIET_RAM -DNVM_INCLUDE -DMSL_INCLUDE -DMSL_POOL_MEM -DNO_AUDIO -DOSA_QUEUE_NAMES -D_DIAG_DISABLE_USB_ -DOSA_NUCLEUS -DOSA_USED -DPM_D2NONE_MODE -DCRANE_SOC_TEMPERATURE_SENSOR -DL1_SW_UPDATE_FOR_DIGRF -DPHS_L1_SW_UPDATE_R7 -DUPGRADE_LTE -DFRBD_CALIB_NVM -DFRBD_AGC_CALIB -DFRBD_FDT_CALIB -DHSPA_MPR -DCAPT_PARAMS_OPTIMIZE -DL1_WB_R99_ONLY -DL1V_WB_R99_ONLY -DINTERGRATED_RF_SUPPORT -DL1_RX_DIV_SUPPORT -DENABLE_OOS_HANDLING -DTAVOR_D2_WB_L1_SUPPORT -DL1_DDR_HIGH_FREQ -DUPGRADE_DIGRF3G_SUPPORT -DW_PS_PLUS_G_PAGING -D"NO_APLP=0" -DINTEL_UPGRADE_UNIFIED_VOICE_TASK -DINTEL_UPGRADE_R99 -DAPLP_SPY_ENABLE -D__TARGET_FEATURE_DOUBLEWORD -DWHOLE_UMTS_STACK -DUSE_TTPCOM_CSR_BLUETOOTH_AUDIO_GAIN_CONTROL -DL1_UPGRADE_R5 -DUPGRADE_EDGE -DUPGRADE_R4_FS1 -DINTEL_UPGRADE_GSM_CRL_IF -DUPGRADE_EGPRS_M -DINTEL_UPGRADE_EGPRS_M -DINTEL_UPGRADE_RF_PARAMS_IN_CF_TDS -DINTEL_UPGRADE_2SAMPLES_PER_SYMBOL -D"GPRS_MULTISLOT_CLASS=12" -D"EGPRS_MULTISLOT_CLASS=12" -DMARVELL_UPGRADE_BSIC_REDESIGN -DMSL_INCLUDE -DINTEL_HERMON_SAC -DCRANE_CUST_BUILD -DL1_SW_UPDATE_FOR_DIGRF -DFLAVOR_COM -DSILICON_PV2 -DSILICON_SEAGULL -DSILICON_TTC_CORE_SEAGULL -DPCAC_INCLUDE -Otime  -DBUILD_DATE=""11 22 2023"" -DBUILD_TIME=""11:19:00"" -Iatcmdsinc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xhoptelephonyyugeinc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xhalUARTinc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xhalcoreinc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xhalPMUinc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xhalGPIOinc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xosposixinc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xdiagdiag_logicsrc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xcswSysCfginc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xcswplatforminc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xenvwin32inc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xcswBSPinc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xcswplatformdev_platbuild -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xososainc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xosthreadxinc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xosnu_xscaleinc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xpcacpsminc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xpcachttpclientsrc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xdiagdiag_logicinc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xhoptimerinc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xhopintcinc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xcswPMinc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xhoppminc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xsoftutilTickManagerinc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xhopBSPinc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xhoptelephonyatcmdsrvinc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xhoptelephonyatparserinc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xhoptelephonysdkinc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xpcachttpclientinc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xpcacciinc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xpcaclwipv4v6srcinclude -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xpcaclwipv4v6srcincludearch -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xpcaclwipv4v6srcincludeipv4 -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xpcaclwipv4v6srcincludeipv6 -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xpcaclwipv4v6srcincludelwip -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xpcaclwipv4v6srcincludenetif -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xhopmmi_matinc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xtavorArbelinc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xtavorenvinc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xhoptelephonymodeminc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xpcacdusterinc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xpcacfotainc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xhalI2Cinc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xhalACIPCinc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xsoftutilfatsysflash -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xsoftutilFDIsrcINCLUDE -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xhalMMUinc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xsoftutilFDIsrcFDI_ADD -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xsoftutilFDIsrcFM_INC -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xsoftutilfatsysfshdr -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xsoftutillittlefsinc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xpcacttsinc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xpcacdialinc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xsoftutilcsw_memoryinc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xhoputilitiesinc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xhopcommpminc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xsoftutilnvminc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xsoftutilEEhandlerinc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xsoftutilEEhandlersrc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xhopRTCinc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xhoptelephonyci_clientinc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xhalBT_deviceinc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xhalUARTinc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xhopmrdinc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xhopdmainc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xsoftutilsoftutilinc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xhalSPIinc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xpcacwebsocketinc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xpcacatnet_srvinc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xsoftutilfotacomminc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xaud_swAudioinc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xaud_swACM_COMMinc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xaud_swaudio_stubsrc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xhopaaminc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xaud_swAudioHALinc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xhaldbgshellinc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08includeasr160xpcacopencpuinc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08amaziot_bloom_os_sdkutils -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08CSDK_CODEcJSONinc -IF:/3.asr-b/cat.1-asr1606/1.software/BLOOM_OS_1606_OPENCPU_1191_A08/amaziot_bloom_os_sdk/sample/libraries/20.14_modbus_xtuaminc -IF:/3.asr-b/cat.1-asr1606/1.software/BLOOM_OS_1606_OPENCPU_1191_A08/amaziot_bloom_os_sdk/sample/libraries/20.14_modbus_xtuatcmdsinc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08/amaziot_bloom_os_sdk/librariesamxtu -DDTU_BASED_ON_TCP -DDTU_TYPE_5X6 -DDTU_UART_115200_BAUD -DDTU_TYPE_MODBUS_INCLUDE -DDTU_TYPE_JSON_INCLUDE -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08/amaziot_bloom_os_sdk/libraries/third_party/agile_modbus-1.1.3inc -IF:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08/amaziot_bloom_os_sdk/libraries/third_party/agile_modbus-1.1.3util  -o buildobj/ext_at_cmds.o F:/3.asr-b/cat.1-asr1606/1.software/BLOOM_OS_1606_OPENCPU_1191_A08/amaziot_bloom_os_sdk/sample/libraries/20.14_modbus_xtu/atcmds/src/ext_at_cmds.c
armlink.exe buildobj/main.o buildobj/ext_at_cmds.o buildobj/ext_at_controller.o buildobj/am_file.o buildobj/am_at.o buildobj/am_trans.o buildobj/am_socket.o buildobj/am_hb.o buildobj/am_net.o buildobj/am_json.o buildobj/am_modbus.o buildobj/utils_string.o buildobj/agile_modbus_slave_util.o buildobj/agile_modbus.o buildobj/agile_modbus_rtu.o buildobj/agile_modbus_tcp.o buildCSDK_CODE.lib 
                -o F:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08outbinArbel_PMD2NONE_40M.axf 
                --via F:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08prebuilt_1606lArbel_PMD2NONE_targ_objliblist.txt 
                --elf 
                --scatter F:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08prebuilt_1606lCrane_DS_4M_Ram_2M_Flash_XIP_CIPSRAM_Common_SingleSIM.sct 
                --predefine="-DLTEONLY_THIN_SINGLE_SIM" --map --symbols --info sizes,totals 
                --list F:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08outbinArbel_PMD2NONE_40M.map 
                --keep init.o(Header) --keep init.o(Vectors) --diag_suppress 6312,6314,6319,6329 
                --feedback F:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08outfeedbackLinkOptLteonlyThin_SingleSim_NoSMS.txt
F:3.asr-bcat.1-asr16061.softwareBLOOM_OS_1606_OPENCPU_1191_A08toolsbuildimage_1606l.bat
SDK_PROD_TYPE   : [DM]
SDK_CUST_SKU    : [THIN_SINGLE_SIM_NO_SMS]
SDK_PS_MODE     : [LTEONLY]
SDK_CHIP_VER    : [Z2A0]
SDK_OS_TYPE     : [TX]
Platform Convertion Tools v4.01 with PS option extension
Convertion done!
|INPUT   |outbincp_1606L.bin
|MARK    |NAME    |EXEADDR .LOADADDR.LENGTH  .CPZLADDR|COMPRESS STASTIC              |
|--------|--------|--------.--------.--------.--------|------------------------------|
|This Is LteOnly 4M|
|RW_CPZ_1|DDR_RW_ |7e11c000.8006b8a4.0000d290.8006c000|0000d290->00003000
|This Is LteOnly 4M|
|RW_CPZ_2|PS_NCAH |7e388000.80078b34.00001300.8006f000|00001300->00001000
|This Is LteOnly 4M|
|RW_CPZ_3|ITCM    |7e3dac00.80079e34.0000f51c.80070000|0000f51c->0000a000
|This Is LteOnly 4M|
|RW_CPZ_4|CODE_PS |7e1ad000.80089350.0002fcdc.8007a000|0002fcdc->0001e000
|This Is LteOnly 4M|
|RW_CPZ_5|CODEPSB |7e1df000.800b902c.000339bc.80098000|000339bc->0001b000
|This Is LteOnly 4M|
|RW_CPZ_6|CODEPSC |7e216000.800ec9e8.000323ec.800b3000|000323ec->0001b000
|This Is LteOnly 4M|
|RW_CPZ_7|CODEPSD |7e24c000.8011edd4.00028d88.800ce000|00028d88->0001a000
|This Is LteOnly 4M|
|RW_CPZ_8|CODEPSE |7e27a000.80147b5c.0002e310.800e8000|0002e310->0001a000
|This Is LteOnly 4M|
|RW_CPZ_9|CODEPSF |7e2ac000.80175e6c.0001c948.80102000|0001c948->00011000
|This Is LteOnly 4M|
|RW_CPZ_A|CODE_PL |7e2cd000.801927b4.0002f914.80113000|0002f914->0001e000
|This Is LteOnly 4M|
|RW_CPZ_B|CODEPLB |7e2fd000.801c20c8.00038d38.80131000|00038d38->00021000
|This Is LteOnly 4M|
|RW_CPZ_C|CODEPLC |7e337000.801fae00.000268d4.80152000|000268d4->00012000
|--------|--------|--------.--------.--------.--------|------------------------------|
|                                                     |      0x0020a6d4 -> 0x0014d000|
|                                                     |       2.041(MB) ->  1.301(MB)|
|------------------------------------------------------------------------------------|
cp_1606L.axf
cp_1606L.bin
cp_1606L.map
gnumake: Leaving directory `F:/3.asr-b/cat.1-asr1606/1.software/BLOOM_OS_1606_OPENCPU_1191_A08/amaziot_bloom_os_sdk/sample/libraries/20.14_modbus_xtu'
"copy NEZHAC_CP_CNR_MIFI_TX.bin to ./ "

已復(fù)制         1 個(gè)文件。

4.6 生成固件

參考入門(mén)中開(kāi)發(fā)工具,生成工具。

4.7 查看現(xiàn)象

固件下載到模組后,配置服務(wù)器地址,配置Modubs指令,之后用Modbus客戶(hù)端來(lái)模擬查看

[11-22 11:46:54:993]
[11-22 11:46:54:993]OK
[11-22 11:46:56:212]
[11-22 11:46:56:212]AT+TRANSIP="TCP","101.200.35.208",8866
[11-22 11:46:56:212]
[11-22 11:46:56:212]OK
[11-22 11:46:58:042]
[11-22 11:46:58:042]CONNECT OK
[11-22 11:46:59:123]
[11-22 11:46:59:123]AT+MBADD=1,1,1,1,0,10
[11-22 11:46:59:123]
[11-22 11:46:59:123]OK
[11-22 11:46:59:515]
[11-22 11:46:59:515]AT+MBADD=2,1,1,2,0,10
[11-22 11:46:59:515]
[11-22 11:46:59:515]OK
[11-22 11:47:00:287]
[11-22 11:47:00:287]AT+MBADD=3,1,1,3,0,10
[11-22 11:47:00:287]
[11-22 11:47:00:287]OK
[11-22 11:47:00:709]
[11-22 11:47:00:709]AT+MBADD=20,1,1,4,0,10
[11-22 11:47:00:709]
[11-22 11:47:00:709]OK
[11-22 11:47:01:172]
[11-22 11:47:01:172]AT+MBADD=49,1,1,5,0,10
[11-22 11:47:01:172]
[11-22 11:47:01:172]OK
[11-22 11:47:01:669]
[11-22 11:47:01:669]AT+MBADD=50,1,1,6,0,6666
[11-22 11:47:01:669]
[11-22 11:47:01:669]OK
[11-22 11:47:02:336]
[11-22 11:47:02:336]AT+MBADDWN=1,1,1,16,0,5,"16B352A9F615D7D3FE198"
[11-22 11:47:02:336]
[11-22 11:47:02:336]OK
[11-22 11:47:02:937]
[11-22 11:47:02:937]AT+MBCFG=1,1,3,6
[11-22 11:47:02:937]
[11-22 11:47:02:937]OK
wKgaombzyqGAB-f_AAC99_3GZtk554.jpg

wKgZombzyqKAehUlAACmvoFLJQY241.jpg

5 總結(jié)

根據(jù)實(shí)驗(yàn)現(xiàn)象,用戶(hù)需要實(shí)現(xiàn)自己的AT指令,只需要參考組件中的例子,按照自己需求修改,

本文章源自奇跡物聯(lián)開(kāi)源的物聯(lián)網(wǎng)應(yīng)用知識(shí)庫(kù)Cellular IoT Wiki,更多技術(shù)干貨歡迎關(guān)注收藏Wiki:Cellular IoT Wiki 知識(shí)庫(kù)(https://rckrv97mzx.feishu.cn/wiki/wikcnBvAC9WOkEYG5CLqGwm6PHf)

歡迎同學(xué)們走進(jìn)AmazIOT知識(shí)庫(kù)的世界!

這里是為物聯(lián)網(wǎng)人構(gòu)建的技術(shù)應(yīng)用百科,以便幫助你更快更簡(jiǎn)單的開(kāi)發(fā)物聯(lián)網(wǎng)產(chǎn)品。

Cellular IoT Wiki初心:

在我們長(zhǎng)期投身于蜂窩物聯(lián)網(wǎng) ODM/OEM 解決方案的實(shí)踐過(guò)程中,一直被物聯(lián)網(wǎng)技術(shù)碎片化與產(chǎn)業(yè)資源碎片化的問(wèn)題所困擾。從產(chǎn)品定義、芯片選型,到軟硬件研發(fā)和測(cè)試,物聯(lián)網(wǎng)技術(shù)的碎片化以及產(chǎn)業(yè)資源的碎片化,始終對(duì)團(tuán)隊(duì)的產(chǎn)品開(kāi)發(fā)交付質(zhì)量和效率形成制約。為了減少因物聯(lián)網(wǎng)碎片化而帶來(lái)的重復(fù)開(kāi)發(fā)工作,我們著手對(duì)物聯(lián)網(wǎng)開(kāi)發(fā)中高頻應(yīng)用的技術(shù)知識(shí)進(jìn)行沉淀管理,并基于 Bloom OS 搭建了不同平臺(tái)的 RTOS 應(yīng)用生態(tài)。后來(lái)我們發(fā)現(xiàn),很多物聯(lián)網(wǎng)產(chǎn)品開(kāi)發(fā)團(tuán)隊(duì)都面臨著相似的困擾,于是,我們決定向全體物聯(lián)網(wǎng)行業(yè)開(kāi)發(fā)者開(kāi)放奇跡物聯(lián)內(nèi)部沉淀的應(yīng)用技術(shù)知識(shí)庫(kù) Wiki,期望能為更多物聯(lián)網(wǎng)產(chǎn)品開(kāi)發(fā)者減輕一些重復(fù)造輪子的負(fù)擔(dān)。

Cellular IoT Wiki沉淀的技術(shù)內(nèi)容方向如下:

wKgaombqoM2AYL2RAAQrZ8e-uz8392.jpg

奇跡物聯(lián)的業(yè)務(wù)服務(wù)范圍:基于自研的NB-IoT、Cat1、Cat4等物聯(lián)網(wǎng)模組,為客戶(hù)物聯(lián)網(wǎng)ODM/OEM解決方案服務(wù)。我們的研發(fā)技術(shù)中心在石家莊,PCBA生產(chǎn)基地分布在深圳、石家莊、北京三個(gè)工廠,滿(mǎn)足不同區(qū)域&不同量產(chǎn)規(guī)模&不同產(chǎn)品開(kāi)發(fā)階段的生產(chǎn)制造任務(wù)。跟傳統(tǒng)PCBA工廠最大的區(qū)別是我們只服務(wù)物聯(lián)網(wǎng)行業(yè)客戶(hù)。

連接我們,和10000+物聯(lián)網(wǎng)開(kāi)發(fā)者一起 降低技術(shù)和成本門(mén)檻

讓蜂窩物聯(lián)網(wǎng)應(yīng)用更簡(jiǎn)單~~

哈哈你終于滑到最重要的模塊了,

千萬(wàn)不!要!劃!走!忍住沖動(dòng)!~

歡迎加入飛書(shū)“開(kāi)源技術(shù)交流”,隨時(shí)找到我們哦~

點(diǎn)擊鏈接如何加入奇跡物聯(lián)技術(shù)話題群(https://rckrv97mzx.feishu.cn/docx/Xskpd1cFQo7hu9x5EuicbsjTnTf)可以獲取加入技術(shù)話題群攻略

Hey 物聯(lián)網(wǎng)從業(yè)者,

你是否有了解過(guò)奇跡物聯(lián)的官方公眾號(hào)“eSIM物聯(lián)工場(chǎng)”呢?

這里是奇跡物聯(lián)的物聯(lián)網(wǎng)應(yīng)用技術(shù)開(kāi)源wiki主陣地,歡迎關(guān)注公眾號(hào),不迷路~

及時(shí)獲得最新物聯(lián)網(wǎng)應(yīng)用技術(shù)沉淀發(fā)布

(如有侵權(quán),聯(lián)系刪除)

審核編輯 黃宇

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 物聯(lián)網(wǎng)
    +關(guān)注

    關(guān)注

    2895

    文章

    43446

    瀏覽量

    366797
  • MODBUS
    +關(guān)注

    關(guān)注

    28

    文章

    1727

    瀏覽量

    76443
  • DTU
    DTU
    +關(guān)注

    關(guān)注

    3

    文章

    423

    瀏覽量

    25048
  • RTU
    RTU
    +關(guān)注

    關(guān)注

    0

    文章

    390

    瀏覽量

    28548
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    TLINK聯(lián)網(wǎng)輕松實(shí)現(xiàn)MODBUS RTU通訊連接

    DTU+PLCPLC串口使用MODBUS RTU協(xié)議,比如說(shuō)我用03功能碼去讀取PLC的8個(gè)寄存器:請(qǐng)求指令:01 03 00 00 00 08 44 0C應(yīng)答指令:01 03 10
    發(fā)表于 07-09 19:22

    Modbus庫(kù)開(kāi)發(fā)筆記之十一:關(guān)于Modbus協(xié)議棧開(kāi)發(fā)的說(shuō)明

    `對(duì)于Modbus協(xié)議棧的整個(gè)開(kāi)發(fā)內(nèi)容,前面已經(jīng)說(shuō)得很清楚了,接下來(lái)我們說(shuō)明一下與開(kāi)發(fā)沒(méi)有直接關(guān)系的內(nèi)容。首先,關(guān)于我為什么開(kāi)發(fā)這個(gè)協(xié)議棧的問(wèn)題。我們的初衷只是想能夠開(kāi)發(fā)產(chǎn)品時(shí)不用每
    發(fā)表于 08-27 20:32

    modbus協(xié)議講解modbus-rtu以及modbus-tcp協(xié)議詳解

    modbus協(xié)議講解modbus-rtu以及modbus-tcp協(xié)議詳解,見(jiàn)附件。
    發(fā)表于 03-01 10:14

    modbus協(xié)議筆記

    版權(quán)要求。? 2.Modbus協(xié)議支持多種電氣接口,包括RS232、RS485、TCP/IP等,還可以
    發(fā)表于 07-02 07:17

    Modbus-RTU協(xié)議模式學(xué)習(xí)下載

    1.Modbus定義: 是一種串行通信協(xié)議,一開(kāi)始是為使用PLC(即可編程邏輯控制器)設(shè)備通信而發(fā)表,已成為工業(yè)上通信協(xié)議的標(biāo)準(zhǔn),是工業(yè)電子設(shè)備間常用連接方式。2.Modbus協(xié)議組成
    發(fā)表于 07-02 06:12

    MODBUS協(xié)議和RS485硬件電路兩者之間的聯(lián)系

    MODBUS-RTU協(xié)議最常用,比較簡(jiǎn)單,32上很容易實(shí)現(xiàn)。就目前來(lái)說(shuō),作者實(shí)際應(yīng)用只接觸到了這種,所以以下的講解也是根據(jù)
    發(fā)表于 11-30 07:41

    Modbus-RTU協(xié)議包括哪些呢

    Modbus-RTUModbus 一個(gè)工業(yè)上常用的通訊協(xié)議、一種通訊約定。Modbus協(xié)議包括RTU、ASCII、TCP。其中MODBUS-RTU
    發(fā)表于 02-16 07:43

    如何快速實(shí)現(xiàn)Modbus RTUModbus TCP協(xié)議轉(zhuǎn)換?

    Modbus RTU轉(zhuǎn)Modbus TCP,實(shí)現(xiàn)不同協(xié)議設(shè)備通訊呢?01通博聯(lián)工業(yè)智能網(wǎng)關(guān)協(xié)議
    發(fā)表于 08-18 18:36

    Modbus協(xié)議的理解

    系統(tǒng)的兼容,最好采用通用的通訊協(xié)議。   我們可以Modbus協(xié)議進(jìn)行修改,使之能使用在自己的系統(tǒng)Modbus協(xié)議包括ASCII、RTU
    發(fā)表于 05-05 16:47

    Modbus_RTU協(xié)議S7-200的應(yīng)用

    介紹Modbus_RTU協(xié)議S7-200的應(yīng)用
    發(fā)表于 03-28 18:03 ?21次下載

    聯(lián)網(wǎng)網(wǎng)關(guān)中的MQTT協(xié)議Modbus協(xié)議介紹

    聯(lián)網(wǎng)行業(yè)最重要的就是通信技術(shù),聯(lián)網(wǎng)發(fā)展至今,出現(xiàn)了許多種協(xié)議,無(wú)論何種協(xié)議作用無(wú)外乎都是將”
    發(fā)表于 01-14 08:51 ?4147次閱讀
    <b class='flag-5'>物</b><b class='flag-5'>聯(lián)網(wǎng)</b>網(wǎng)關(guān)中的MQTT<b class='flag-5'>協(xié)議</b>和<b class='flag-5'>Modbus協(xié)議</b>介紹

    對(duì)DTU進(jìn)行Modbus RTU協(xié)議的配置流程

    本文主要介紹搭載了4G Cat.1模塊ML302-ANLM的機(jī)智云GC511 DTU,將Modbus產(chǎn)品快速接入機(jī)智云平臺(tái)的流程,以及以Modbus電表為例進(jìn)行說(shuō)明,
    的頭像 發(fā)表于 08-12 09:05 ?3472次閱讀

    工業(yè)級(jí)DTU兼容標(biāo)準(zhǔn)Modbus協(xié)議,支持快速接入聯(lián)網(wǎng)云平臺(tái)

    。 機(jī)智云工業(yè)級(jí)DTU GC511 是一款兼容Modbus協(xié)議DTU產(chǎn)品,其高性能、低功耗、即插即用特性,可實(shí)現(xiàn)工業(yè)設(shè)備數(shù)據(jù)采集和管理,兼容RS485通信方式,可對(duì)接主流工業(yè)
    的頭像 發(fā)表于 11-10 11:30 ?902次閱讀

    工業(yè)通訊應(yīng)用主流的常用協(xié)議Modbus協(xié)議

    隨之改名為我們?nèi)缃袼煜さ?b class='flag-5'>modbus協(xié)議,現(xiàn)如今廣泛應(yīng)用在聯(lián)網(wǎng)的控制器、HMI和傳感器與其他工控終端設(shè)備進(jìn)行通訊,Modbus已然成為
    的頭像 發(fā)表于 04-19 15:25 ?1197次閱讀
    工業(yè)通訊應(yīng)用<b class='flag-5'>中</b>主流的常用<b class='flag-5'>協(xié)議</b><b class='flag-5'>Modbus協(xié)議</b>

    Modbus協(xié)議網(wǎng)關(guān)是什么?Modbus協(xié)議網(wǎng)關(guān)的功能

    Modbus協(xié)議網(wǎng)關(guān)是一種專(zhuān)門(mén)用于工業(yè)自動(dòng)化環(huán)境的網(wǎng)絡(luò)設(shè)備,其主要功能是實(shí)現(xiàn)不同通信協(xié)議之間的轉(zhuǎn)換,尤其是將基于Modbus協(xié)議的設(shè)備或系統(tǒng)與使用其他
    的頭像 發(fā)表于 04-11 15:51 ?572次閱讀