電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>可編程邏輯>FPGA/ASIC技術(shù)>TLC5510 VHDL控制程序

TLC5510 VHDL控制程序

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴

評論

查看更多

相關(guān)推薦

采用TLC5510數(shù)據(jù)采集整形觸發(fā)電路設(shè)計

TLC5510的基準(zhǔn)電源有多種接法,根據(jù)不同場合選擇適當(dāng)基準(zhǔn)電源,利用內(nèi)部基準(zhǔn)源,TLC5510典型應(yīng)用電路如圖所示。由于其測量范圍為0.6 V~2.6 V。
2014-12-11 14:52:345871

TLC5510模數(shù)轉(zhuǎn)換器的特點及在線陣CCD數(shù)據(jù)采集系統(tǒng)中的應(yīng)用

TLC5510是美國TI公司生產(chǎn)的新型模數(shù)轉(zhuǎn)換器件(ADC),它是一種采用CMOS工藝制造的8位高阻抗并行A/D芯片,能提供的最小采樣率為20MSPS.由于TLC5510采用了半閃速結(jié)構(gòu)及CMOS工藝,因而大大減少了器件中比較器的數(shù)量,而且在高速轉(zhuǎn)換的同時能夠保持較低的功耗。
2020-07-17 14:29:281787

TLC5510EVM

EVAL MOD FOR TLC5510
2023-03-30 11:47:20

TLC5510噪聲問題

本帖最后由 后蔭榆楓 于 2013-8-18 21:25 編輯 我用TI的TLC5510作為FPGA的AD使用,可是現(xiàn)在信號一直調(diào)理不好,接入信號后,TLC5510板子上的地線和電源線上的噪聲
2013-08-18 21:23:11

TLC5510如何得到高頻率的時鐘信號

TLC5510模數(shù)轉(zhuǎn)換芯片,如果我需要轉(zhuǎn)換10M的信號,那么芯片的采樣時鐘頻率則至少需要20M,或者更大,單片機無法產(chǎn)生這么高的時鐘頻率,我該如何得到高頻率的時鐘信號呢
2019-06-10 08:52:31

AKC6951的控制程序

請問有誰寫過收音機芯片AKC6951的控制程序
2017-08-29 13:58:32

FPGA 編程開發(fā)實例

;ADC0809 VHDL控制程序;TLC5510 VHDL控制程序;DAC0832 接口電路程序;TLC7524接口電路程序;&nbsp
2008-06-27 10:41:44

PID控制程序

有哪位好心人幫忙編一道PID控制程序,注意位數(shù)等的范圍,并要求附上Kp,Ti,Td的范圍。謝謝!
2012-05-03 14:49:24

PLc模糊控制程序設(shè)計

PLc模糊控制程序設(shè)計
2015-04-08 17:59:42

STM32超級終端串口控制程序分享

STM32超級終端串口控制程序分享
2021-12-08 06:32:22

TCD1208AP和TLC5510應(yīng)該如何連接?

哪位大神知道,TCD120AP輸出信號應(yīng)該如何用AD芯片處理,比如TCD1208的輸出信號如何處理才能·和TLC5510連接?有否相關(guān)電路圖供小生參考,將不勝感激?。?!
2014-12-14 22:29:20

fpga很有價值的27教程

fpga很有價值的27教程LED控制VHDL程序與仿真 LED控制VHDL程序與仿真;LCD控制VHDL程序與仿真 LCD控制VHDL程序與仿真;ADC0809 VHDL控制程序;TLC5510
2008-05-20 09:51:33

keithley2400的串口控制程序

keithley2400的串口控制程序,最好還是用GPIB通信
2016-12-15 23:49:30

事件結(jié)構(gòu)控制程序暫停的例程

看到很多大神發(fā)用事件結(jié)構(gòu)控制程序暫停的例程,但是我還是不懂,希望大家?guī)兔o我發(fā)幾個,謝謝大家這個是我自己寫的,用的順序和while
2015-05-16 15:47:07

如何將stm32的控制程序轉(zhuǎn)成51的程序?

如何將stm32的控制程序轉(zhuǎn)成51的程序,用的是意法的傳感器,給的控制程序也是32的
2023-11-03 08:07:08

我寫的ATMEGA128的舵機控制程序用不了,可以提供控制一個舵機的控制程序

我寫的ATMEGA128的舵機控制程序怎么用不了,那位可以提供控制一個舵機的控制程序
2019-01-11 10:45:19

步進(jìn)電機控制程序編寫

步進(jìn)電機控制程序編寫,在3399上控制步進(jìn)電機,即控制GPIO口,需要使用庫WiringPi,其相關(guān)資料和使用方法參考http://wiki.friendlyarm.com/wiki
2021-07-08 09:59:08

求ISD1760語音芯片的VHDL控制程序~~

如題。。誰有ISD1760芯片的VHDL錄放音控制程序,求參考~~感激涕零~~~
2013-06-27 22:40:13

求一個控制程序

求一個完整點的控制程序,什么都可以,想要借鑒一下別人都是怎么寫的程序
2016-03-26 17:48:27

求助tlc5510電路圖

求教各位用過tlc5510的,我的電路直接在輸出口3-10引腳接發(fā)光二極管,按道理輸出應(yīng)該是5V或者0V,但是我的輸出電壓竟然有中間值,2.7V,1.2V等等,有誰的成功用過TLC5510的求個原理圖,萬分感謝
2017-01-17 19:56:58

求助:TCD1208AP和TLC5510連接問題

本帖最后由 gk320830 于 2015-3-4 14:20 編輯 哪位大神知道,TCD120AP輸出信號應(yīng)該如何用AD芯片處理,比如TCD1208的輸出信號如何處理才能·和TLC5510連接?有否相關(guān)電路圖供小生參考,將不勝感激?。?!
2014-12-14 22:23:28

求教ADF4360-0控制程序怎么寫

控制程序寫好后,怎么送入4360-0呢?用小單片機嗎?
2019-01-02 11:22:04

經(jīng)典鍵盤控制程序

經(jīng)典鍵盤控制程序
2012-08-11 23:37:48

舵機控制程序

舵機控制程序,僅供參考{:soso_e113:}
2012-05-16 14:46:44

請問TLC5510延遲時間是什么?

等于轉(zhuǎn)換速率。當(dāng)我在看TLC5510的datasheet時,發(fā)現(xiàn)從模擬電壓信號輸入到數(shù)字信號輸出,要有2.5個時鐘周期的延遲,請問這個延遲時間是“ADC完成一次從模擬到數(shù)字轉(zhuǎn)換”需要的時間嗎?但是
2019-05-22 07:16:23

請問有沒有編過模糊PID控制程序或神經(jīng)網(wǎng)絡(luò)控制程序?

各位大神,請問有沒有編過模糊PID控制程序或神經(jīng)網(wǎng)絡(luò)控制程序?
2015-01-12 10:50:48

高價求代做LabVIEW模糊控制程序

高價求代做LabVIEW模糊控制程序
2018-03-31 16:26:17

8位高速A/D轉(zhuǎn)換器TLC5510的知識點,不看肯定后悔

TLC5510內(nèi)部結(jié)構(gòu)、引腳說明及工作原理TLC5510在線陣CCD數(shù)據(jù)系統(tǒng)中的應(yīng)用
2021-04-22 06:51:21

tlc5510 pdf

The TLC5510 and TLC5510A are CMOS, 8-bit, 20MSPS analog-to-digital converters (ADCs) thatutilize
2008-06-27 11:13:57204

tlc5510中文資料pdf

TLC5510是CMOS、8位、20MSPS模擬棗數(shù)字轉(zhuǎn)換器(ADC) ,它利用了半閃速結(jié)構(gòu)(semiflasharchitecture) 。TLC5510用單5V電源工作且只消耗100mW(典型值)的功率。它還包含有內(nèi)部采樣和保持電
2008-06-27 11:15:56482

步進(jìn)電機控制程序(PLC控制)

步進(jìn)電機控制程序(PLC控制)
2008-10-10 14:35:0265

8位高速AD轉(zhuǎn)換器TLC5510的應(yīng)用

TLC5510是美國德州儀器公司生產(chǎn)的8位半閃速結(jié)構(gòu)模數(shù)轉(zhuǎn)換器,它采用CMOS工藝制造,可提供最小20Msps的采樣率.可廣泛用于數(shù)字TV、醫(yī)學(xué)圖像、視頻會議、高速數(shù)據(jù)轉(zhuǎn)換以及QAM解調(diào)器等方面
2009-04-22 15:23:3464

實現(xiàn)TLC5510模數(shù)轉(zhuǎn)換器與TMS320C203 DSP的

Analog to Digital Converter to the TMS320C203 DSP (literature number SLAA029) thatpresents guidelines for interfacing the TI TLC5510 analog-
2009-06-12 14:26:1855

CMP指令應(yīng)用脈沖定位控制程序

CMP指令應(yīng)用脈沖定位控制程序  
2009-07-04 08:17:3032

步進(jìn)電機控制程序STEPPER.C

步進(jìn)電機控制程序ST
2010-01-09 15:19:176

閃速8 AD轉(zhuǎn)換器TLC5510與單片微機的接口技術(shù)

TLC5510 是美國德州儀器公司生產(chǎn)的8位閃速結(jié)構(gòu)模數(shù)轉(zhuǎn)換器,采用CMOS 工藝制造,采樣速率高達(dá)20MSPS。廣泛用于數(shù)字TV、醫(yī)學(xué)圖像、視頻會議、高速數(shù)據(jù)轉(zhuǎn)換以及QAM解調(diào)器等
2010-01-25 14:49:2681

紅外遙控?zé)綦娐芳?b class="flag-6" style="color: red">控制程序

紅外遙控?zé)綦娐芳?b class="flag-6" style="color: red">控制程序
2010-03-11 09:41:07198

TLC5540/TLC5510/TLC5510A EVM

This user’s guide serves as a reference book for the TLC5540/TLC5510/TLC5510A. This document
2010-06-02 09:24:348

TLC5510A/TLC5510 (8-Bit High-S

The TLC5510 and TLC5510A are CMOS, 8-bit, 20 MSPS analog-to-digital converters (ADCs) that utilize
2010-06-02 09:28:4518

Interfacing the TLC5510 Analog

Analog to Digital Converter to the TMS320C203 DSP  (literature number SLAA029) thatpresents guidelines for interfacing the TI TLC5510 a
2010-06-02 09:42:007

TLC5510 analog to digital conv

;) TLC5510 8-bit parallel-output analog-to-digital converter (ADC) to the TI TMS320C203 DSP data bus. The 8-bit ADC operates at a rate of 20 MHz. T
2010-06-02 09:54:1811

KEEP指令應(yīng)用--小車循環(huán)運行控制程序

KEEP指令應(yīng)用--小車循環(huán)運行控制程序
2010-07-07 11:56:3819

SFT指令應(yīng)用小車循環(huán)運行控制程序

SFT指令應(yīng)用小車循環(huán)運行控制程序
2010-07-07 12:00:4514

基于TLC5510的數(shù)據(jù)采集系統(tǒng)設(shè)計

   介紹了美國德州儀器公司A/D轉(zhuǎn)換器TLC5510的主要特點及典型應(yīng)用電路,對于頻率較高的電信號,提供基于FPGA控制的等效采樣技術(shù),使得TLC5510能對高頻周期信號采樣。
2010-12-16 15:32:0982

ADC0809 VHDL控制程序

ADC0809 VHDL控制程序--文件名:ADC0809.vhd--功能:基于VHDL語言,實現(xiàn)對ADC0809簡單控制--說明:ADC0809沒有內(nèi)部時鐘,需外接10KHz~1290Hz的時鐘信號,這里由FPGA的
2008-06-27 11:19:282794

LED控制VHDL程序與仿真

LED控制VHDL程序與仿真分別介紹采用FPGA對LED進(jìn)行靜態(tài)和動態(tài)顯示的數(shù)字時鐘控制程序。1. 例1:FPGA驅(qū)動LED靜態(tài)顯示--文件名:decoder.vhd。--功能:譯碼輸出
2008-06-27 11:20:401418

基于CMOS工藝的高阻抗并行A/D芯片TLC5510

  1 概述   TLC5510是美國TI公司生產(chǎn)的新型模數(shù)轉(zhuǎn)換器件(ADC),它是一種采用CMOS工藝制造的8位高阻抗并行A/D芯片,能提供的最小采樣率為20MSPS。由于TLC5510采用了半閃速
2010-09-03 10:16:031138

無線傳輸?shù)膫鞲邢到y(tǒng)中控制程序的設(shè)計方法

無線傳輸?shù)膫鞲邢到y(tǒng)中控制程序的設(shè)計方法摘 要:分析了無線傳輸?shù)膫鞲邢到y(tǒng)的控制程序,討論了通訊協(xié)議,接口電路及接口軟件的設(shè)計,指出了其設(shè)計的技術(shù)要點。 關(guān)鍵詞:無線傳輸;傳感系統(tǒng);軟件
2011-01-30 12:51:2029

TLC5510 高速模數(shù)轉(zhuǎn)換器手冊

TLC5510是CMOS、8位、20MSPS模擬棗數(shù)字轉(zhuǎn)換器(ADC) ,它利用了半閃速結(jié)構(gòu)(semiflash architecture) 。TLC5510用單5V電源工作且只消耗100mW(典型值)的功率。它還包含有內(nèi)部采樣和保持電路,
2011-04-04 16:06:17123

基于VHDL語言對高速A/D器件TLC5510控制的實現(xiàn)

--TLC5510 VHDL 控制程序 --文件名:TLC5510.vhd --功能:基于VHDL語言,實現(xiàn)對高速A/D器件TLC5510控制 --最后修改日期:2004.3.20 library
2012-05-22 10:02:281322

機器人控制程序(舵機型)

機器人控制程序(舵機型)機器人控制程序(舵機型)
2015-11-12 17:23:1716

單片機按鍵控制程序【匯編版】

單片機按鍵控制程序【匯編版】單片機按鍵控制程序【匯編版】單片機按鍵控制程序【匯編版】單片機按鍵控制程序【匯編版】
2015-12-29 11:04:020

單片機按鍵控制程序【C語言】

單片機按鍵控制程序【C語言】單片機按鍵控制程序【C語言】單片機按鍵控制程序【C語言】單片機按鍵控制程序【C語言】
2015-12-29 11:04:1129

基于單片機的中斷控制程序【C語言】

基于單片機的中斷控制程序【C語言】,需要的朋友可以下載來看看。
2015-12-29 18:17:217

基于單片機的中斷控制程序(蜂鈴器_timer1)【匯編】

基于單片機的中斷控制程序(蜂鈴器_timer1)【匯編】
2015-12-29 18:17:097

基于單片機的中斷控制程序(蜂鈴器_timer1)【C語言】

基于單片機的中斷控制程序(蜂鈴器_timer1)【C語言】
2015-12-29 18:17:1513

單片機按鍵控制程序【C語言+匯編版】

單片機按鍵控制程序【C語言+匯編版】,按鍵程序
2016-01-06 11:15:550

多舵機控制程序

多舵機控制程序。
2016-03-21 15:43:2812

各種電機的DSP控制程序

各種電機的DSP控制程序,比較全,歡迎大家下載,歡迎交流,一起學(xué)習(xí)DSP。
2016-04-13 14:46:1751

手勢控制程序

這個是小車的手勢控制程序,通過單片機可以控制小車來進(jìn)行活動,比如前進(jìn)或者后退。
2016-05-11 11:30:1922

步進(jìn)電機控制程序液晶顯示程序

步進(jìn)電機控制程序液晶顯示程序,快來學(xué)習(xí)吧。
2016-05-12 16:25:3133

PLC控制LED燈數(shù)碼顯示控制程序

PLC控制LED燈數(shù)碼顯示控制程序,初次來到此地,請多關(guān)照。
2016-05-19 14:31:120

PS2鍵盤控制程序

Xilinx FPGA工程例子源碼:PS2鍵盤控制程序
2016-06-07 14:45:0716

tlc5510芯片數(shù)據(jù)手冊

The TLC5510 and TLC5510A are CMOS, 8-bit, 20 MSPS analog-to-digital converters (ADCs) that utilize
2016-10-12 14:57:5436

3種單按鈕起挺控制程序

三菱PLC(可編程邏輯控制器)編程實例項目例程——3種單按鈕起挺控制程序
2016-11-08 16:03:286

10種單按鈕起挺控制程序

三菱PLC(可編程邏輯控制器)編程實例項目例程——10種單按鈕起挺控制程序
2016-11-08 16:03:284

TLC5510數(shù)據(jù)手冊

TLC5510數(shù)據(jù)手冊
2017-01-03 11:41:350

小車電機控制程序的編寫要求

小車電機控制程序
2017-02-27 16:43:5114

DMX512燈光控制程序資料及案例

DMX512燈光控制程序資料及案例
2017-08-25 10:41:17196

TLC5510數(shù)據(jù)采集系統(tǒng)設(shè)計方案分析

1 TLC5510簡介 TLC5510是美國德州儀器(TI)公司的8位半閃速架構(gòu)A/D轉(zhuǎn)換器,采用CMOS工藝,大大減少比較器數(shù)。TLC5510最大可提供20 Ms/s的采樣率,可廣泛應(yīng)用于高速數(shù)據(jù)
2017-10-20 11:31:497

基于WinCE通知API的解析及在控制程序中的應(yīng)用

基于WinCE通知API的解析及在控制程序中的應(yīng)用
2017-10-25 09:42:553

基于送料小車自動控制程序

本文檔內(nèi)容介紹了基于送料小車自動控制程序,供網(wǎng)友參考。
2017-12-20 13:38:2514

八個亮度等級的LED控制程序源碼下載

八個亮度等級的LED控制程序源碼下載
2018-04-27 10:16:325

伺服控制程序源代碼下載

伺服控制程序源代碼下載
2018-05-02 14:47:3837

TLC5510并行A/D芯片在線陣CCD數(shù)據(jù)系統(tǒng)中的應(yīng)用

TLC5510是美國TI公司生產(chǎn)的新型模數(shù)轉(zhuǎn)換器件(ADC),它是一種采用CMOS工藝制造的8位高阻抗并行A/D芯片,能提供的最小采樣率為20MSPS。由于TLC5510采用了半閃速結(jié)構(gòu)及CMOS工藝,因而大大減少了器件中比較器的數(shù)量,而且在高速轉(zhuǎn)換的同時能夠保持較低的功耗。
2018-12-31 08:50:002963

TLC5510TLC5510A 8位高速模數(shù)轉(zhuǎn)換器的詳細(xì)

TLC5510TLC5510A是CMOS,8位,20MSPS模數(shù)轉(zhuǎn)換器(ADC),它們采用半閃存結(jié)構(gòu)。TLC55 10和TLC55 10A用單個5伏電源工作,通常只消耗130兆瓦的功率。包括內(nèi)部采樣保持電路、具有高阻抗模式的并行輸出和內(nèi)部參考電阻器。
2018-09-19 16:54:2728

TLC5510應(yīng)用8位半閃速結(jié)構(gòu)模數(shù)轉(zhuǎn)換器的介紹和使用手冊免費下載

TLC5510是美國德州儀器(TI)公司生產(chǎn)的8位半閃速結(jié)構(gòu)模數(shù)轉(zhuǎn)換器,它采用 CMOS工藝制造,可提供最小20Msps 的采樣率??蓮V泛用于數(shù)字TV、醫(yī)學(xué)圖像、視頻會議、高速數(shù)據(jù)轉(zhuǎn)換以及QAM
2018-10-18 08:00:0011

8位半閃速架構(gòu)A/D轉(zhuǎn)換器TLC5510的性能特點和典型應(yīng)用電路研究

TLC5510最大可提供20 Ms/s的采樣率,可廣泛應(yīng)用于高速數(shù)據(jù)轉(zhuǎn)換、數(shù)字TV、醫(yī)學(xué)圖像、視頻會議以及QAM解調(diào)器等領(lǐng)域。TLC5510的工作電源為5 V,功耗為100 mW(典型值)。內(nèi)置采樣
2020-07-31 15:38:033176

合泰馬達(dá)的控制程序源代碼免費下載

本文檔的主要內(nèi)容詳細(xì)介紹的是合泰馬達(dá)的控制程序源代碼免費下載。
2020-11-09 08:00:0019

使用FPGA實現(xiàn)ADC0809的VHDL控制程序免費下載

本文檔的主要內(nèi)容詳細(xì)介紹的是使用FPGA實現(xiàn)ADC0809的VHDL控制程序免費下載。
2021-01-18 17:17:0017

TLC5510VHDL程序與仿真資料免費下載

本文檔的主要內(nèi)容詳細(xì)介紹的是TLC5510VHDL程序與仿真資料免費下載。
2021-01-19 14:00:0813

松下電梯門機控制程序文件下載

松下電梯門機控制程序文件下載
2021-03-29 10:40:576

ACS880起重機控制程序

ACS880起重機控制程序免費下載。
2021-05-14 10:40:0211

固件手冊之ACS880基本控制程序

固件手冊之ACS880基本控制程序說明。
2021-05-24 10:10:0514

電動機點動控制程序及源碼下載

電動機點動控制程序及源碼下載
2021-05-25 14:03:3810

基于單片機的pid控制程序簡介

基于單片機的pid控制程序免費下載。
2021-06-17 16:44:1952

51單片機ADC控制程序

51單片機ADC控制程序(肇慶理士電源技術(shù)有限公司電話)-該文檔為51單片機ADC控制程序講解文檔,是一份還算不錯的參考文檔,感興趣的可以下載看看,,,,,,,,,,,,,,,,,
2021-09-27 16:05:5929

直流無刷電機控制程序

直流無刷電機控制程序(現(xiàn)代電源技術(shù)杜少武掃描版)-?直流無刷電機控制程序,可用在直流變頻空調(diào)上
2021-09-28 12:28:29152

快速掌握PLC控制程序的設(shè)計

快速掌握PLC控制程序的設(shè)計
2023-03-17 09:42:27240

已全部加載完成