電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>可編程邏輯>FPGA/ASIC技術(shù)>基于FPGA的IJF數(shù)字基帶編碼的實(shí)現(xiàn)

基于FPGA的IJF數(shù)字基帶編碼的實(shí)現(xiàn)

12下一頁(yè)全文
收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴

評(píng)論

查看更多

相關(guān)推薦

什么是數(shù)字中頻?FPGA怎么實(shí)現(xiàn)數(shù)字中頻?

數(shù)字中頻顧名思義,是指一種中間頻率的信號(hào)形式。中頻是相對(duì)于基帶信號(hào)和射頻信號(hào)來(lái)講的,中頻可以有一級(jí)或多級(jí),它是基帶和射頻之間過(guò)渡的橋梁。 如圖1所示,中頻部分用數(shù)字方式來(lái)實(shí)現(xiàn)就稱之為數(shù)字中頻。數(shù)字
2023-10-21 18:59:002568

基于FPGA的IRIG-B編碼實(shí)現(xiàn)

FPGA的IRIG-B編碼實(shí)現(xiàn) 我國(guó)靶場(chǎng)測(cè)量、工業(yè)控制、電力系統(tǒng)測(cè)量與保護(hù)、計(jì)算、通信、氣象等測(cè)試設(shè)備均采用國(guó)際標(biāo)準(zhǔn)IRIG-B格式的時(shí)間碼(簡(jiǎn)稱B碼)作為時(shí)間同步標(biāo)準(zhǔn)。B
2010-03-29 09:58:132221

如何使用FPGA實(shí)現(xiàn)高清低碼流視頻編碼?

本文介紹了FPGA實(shí)現(xiàn)高清低碼流視頻編碼中的作用以及如何具體實(shí)現(xiàn)。目前現(xiàn)狀是高清視頻720p的碼流一般在2Mbps以上,1080p的碼流在4Mbps以上,要大幅度降低碼流,需要從幾個(gè)方面考慮。
2013-09-23 13:41:151986

基于數(shù)字信號(hào)處理器實(shí)現(xiàn)曼徹斯特編碼系統(tǒng)的設(shè)計(jì)

用于數(shù)字基帶傳輸?shù)拇a型種類較多,Manchester碼是其中常用的一種。Manchester碼是一種用跳變沿(而非電平)來(lái)表示要傳輸?shù)亩M(jìn)制信息(0或1),一般規(guī)定在位元中間用下跳變表示“1”,用上跳變表示“0”。其編碼規(guī)則如表1所列。
2020-09-17 12:26:001463

FPGA數(shù)字信號(hào)處理實(shí)現(xiàn)原理及方法

FPGA數(shù)字信號(hào)處理實(shí)現(xiàn)原理及方法
2012-08-15 19:00:58

FPGA數(shù)字信號(hào)處理實(shí)現(xiàn)原理及方法

FPGA數(shù)字信號(hào)處理實(shí)現(xiàn)原理及方法
2012-08-19 13:37:35

fpga實(shí)現(xiàn)IEC61850-9-2報(bào)文編碼

最近,需要使用fpga實(shí)現(xiàn)iec-61850-9-2報(bào)文編碼,設(shè)計(jì)中涉及到的 字段非常多,以至于邏輯特別復(fù)雜,占用資源太多,設(shè)計(jì)的頻率上不去。有沒(méi)有哪位同道做過(guò)fpga報(bào)文編碼類的設(shè)計(jì),請(qǐng)不吝賜教。
2013-11-12 23:20:19

基帶、射頻,到底是干什么用的?

手機(jī)的基帶芯片、電路,或者基站的基帶處理單元(也就是我們常說(shuō)的BBU)。 回到我們剛才所說(shuō)的語(yǔ)音模擬信號(hào)。這些信號(hào)會(huì)通過(guò)基帶中的AD數(shù)模轉(zhuǎn)換電路,完成采樣、量化、編碼,變成數(shù)字信號(hào)。具體過(guò)程如下如所示
2020-05-02 08:30:08

數(shù)字基帶信號(hào)處理實(shí)驗(yàn)

數(shù)字基帶信號(hào)處理實(shí)驗(yàn) 一、實(shí)驗(yàn)?zāi)康?amp;nbsp;    1、熟悉該系統(tǒng)的時(shí)鐘信號(hào)與各種定時(shí)信號(hào)的產(chǎn)生方法。 &
2009-10-11 08:54:51

數(shù)字信號(hào)處理的FPGA實(shí)現(xiàn)

FPGA正在掀起一場(chǎng)數(shù)字信號(hào)處理的變革。本書旨在講解前端數(shù)字信號(hào)處理算法的高效實(shí)現(xiàn)。首先概述了當(dāng)前的FPGA技術(shù)、器件以及用于設(shè)計(jì)最先進(jìn)DSP系統(tǒng)的工具。第1章的案例研究是40多個(gè)設(shè)計(jì)示例
2023-09-19 06:38:28

數(shù)字信號(hào)處理的FPGA實(shí)現(xiàn)

數(shù)字信號(hào)處理的FPGA實(shí)現(xiàn)
2020-04-06 11:20:46

【基于Xilinx FPGA的OFDM通信系統(tǒng)基帶設(shè)計(jì)】隨書光盤

物理層標(biāo)準(zhǔn)IEEE 802.11a為實(shí)例,研究如何在FPGA實(shí)現(xiàn)一個(gè)OFDM通信系統(tǒng)的基帶收發(fā)機(jī)?!痘赬ILINX FPGA的OFDM通信系統(tǒng)基帶設(shè)計(jì)》在系統(tǒng)地給出了收發(fā)機(jī)模塊劃分的基礎(chǔ)上,對(duì)每個(gè)
2012-11-02 11:09:37

【至簡(jiǎn)設(shè)計(jì)案例系列】基于FPGA的曼徹斯特編碼解碼設(shè)計(jì)

輸入為1的變成輸出前半拍為1,后半拍為0,輸入為0的變成前半拍為0,后半拍為1的頻帶信號(hào)。1.3時(shí)序圖 輸入序列以101為例,經(jīng)過(guò)編碼后應(yīng)為1001101.4 FPGA實(shí)現(xiàn)1.4.1 頂層架構(gòu)信號(hào)說(shuō)明
2020-04-24 14:22:59

中頻數(shù)字基帶硬件電路設(shè)計(jì)

數(shù)字基帶信號(hào)處理器件FPGA 為核心,實(shí)現(xiàn)上行信號(hào)的捕獲、跟蹤、擴(kuò)頻解調(diào),主要由信號(hào)放大電路、AD 轉(zhuǎn)換電路、FPGA 最小系統(tǒng)和電源轉(zhuǎn)換電路組成。其硬件總體結(jié)構(gòu)見(jiàn)圖信號(hào)放大電路信號(hào)放大電路
2018-08-13 07:18:30

什么是數(shù)字中頻?FPGA怎么實(shí)現(xiàn)數(shù)字中頻?

什么是數(shù)字中頻?FPGA怎么實(shí)現(xiàn)數(shù)字中頻?
2021-05-08 08:05:40

利用FPGA怎么實(shí)現(xiàn)數(shù)字信號(hào)處理?

DSP技術(shù)廣泛應(yīng)用于各個(gè)領(lǐng)域,但傳統(tǒng)的數(shù)字信號(hào)處理器由于以順序方式工作使得數(shù)據(jù)處理速度較低,且在功能重構(gòu)及應(yīng)用目標(biāo)的修改方面缺乏靈活性。而使用具有并行處理特性的FPGA實(shí)現(xiàn)數(shù)字信號(hào)處理系統(tǒng),具有很強(qiáng)的實(shí)時(shí)性和靈活性,因此利用FPGA實(shí)現(xiàn)數(shù)字信號(hào)處理成為數(shù)字信號(hào)處理領(lǐng)域的一種新的趨勢(shì)。
2019-10-17 08:12:27

FPGA開(kāi)發(fā)板上實(shí)現(xiàn)優(yōu)先編碼器的設(shè)計(jì)

介紹FPGA開(kāi)發(fā)板上組合邏輯電路的實(shí)現(xiàn),這些實(shí)例包括在數(shù)字邏輯設(shè)計(jì)課程中所熟知的部分中規(guī)模集成電路:優(yōu)先編碼器、多路復(fù)用器以及加法器,最后還將介紹算術(shù)邏輯單元ALU的實(shí)現(xiàn)。優(yōu)先編碼器實(shí)驗(yàn)原理在數(shù)字系統(tǒng)中
2022-08-04 17:39:32

基于FPGA的交織編碼技術(shù)研究及實(shí)現(xiàn)

基于FPGA的交織編碼技術(shù)研究及實(shí)現(xiàn)中文期刊文章作  者:楊鴻勛 張林作者機(jī)構(gòu):[1]貴州航天電子科技有限公司,貴州貴陽(yáng)550009出 版 物:《科技資訊》 (科技資訊)年 卷 期:2017年 第
2018-05-11 14:09:54

基于ARM和DSP的3G移動(dòng)終端基帶信號(hào)處理器

信號(hào),調(diào)制無(wú)線信號(hào)以便實(shí)現(xiàn)同通信網(wǎng)絡(luò)系統(tǒng)前端基站的無(wú)線通信。文章設(shè)計(jì)了一種基于先進(jìn)微處理器(ARM)、數(shù)字信號(hào)處理(DSP)和現(xiàn)場(chǎng)可編程門陣列(FPGA)體系結(jié)構(gòu)的3G移動(dòng)終端基帶信號(hào)處理器。這種
2019-07-03 06:18:48

基于LabVIEW的數(shù)字基帶碼型變換電路設(shè)計(jì)

畢業(yè)設(shè)計(jì)題目,毫無(wú)頭緒,求指導(dǎo)。主要內(nèi)容:通信原理》課程中,數(shù)字基帶信號(hào)傳輸碼型是最重要的教學(xué)內(nèi)容之一。在傳輸系統(tǒng)中,需要各種不同的傳輸碼型及其相互變換。該設(shè)計(jì)要求分析通信系統(tǒng)基帶傳輸?shù)某S么a型
2015-02-28 18:09:14

多天線多載波的數(shù)字上下變頻的FPGA實(shí)現(xiàn)方法有哪些?

數(shù)字上變頻/下變頻(DUC/DDC)是數(shù)字中頻設(shè)計(jì)的重要組成部分,其功能是將基帶信號(hào)經(jīng)過(guò)內(nèi)插濾波后變到中頻的頻率,或者將中頻的信號(hào)經(jīng)過(guò)抽取濾波后降到基帶的頻率上。本文的主要目的就是介紹多天線多載波數(shù)字上下變頻的FPGA實(shí)現(xiàn)方法,以及Altera提供的一種數(shù)字信號(hào)處理的工具,DSP BUILDER。
2019-09-25 08:22:51

如何實(shí)現(xiàn)多天線多載波的數(shù)字上下變頻的FPGA

數(shù)字上變頻/下變頻(DUC/DDC, digital up convert/ digital down convert)是數(shù)字中頻設(shè)計(jì)的重要組成部分,其功能是將基帶信號(hào)經(jīng)過(guò)內(nèi)插濾波后變到中頻的頻率
2019-08-09 06:52:39

如何利用FPGA編程技術(shù)實(shí)現(xiàn)PCM編碼原理?

PCM編碼原理與規(guī)則是什么?如何利用FPGA編程技術(shù)實(shí)現(xiàn)PCM編碼原理?機(jī)場(chǎng)監(jiān)視監(jiān)控網(wǎng)絡(luò)中低速接入應(yīng)用
2021-04-15 06:38:46

如何去實(shí)現(xiàn)一種基帶解調(diào)器的設(shè)計(jì)?

AD6654是什么?如何去實(shí)現(xiàn)一種基帶解調(diào)器的設(shè)計(jì)?AD6654在數(shù)字電視基帶解調(diào)器設(shè)計(jì)中有什么作用?
2021-06-07 06:16:50

如何采用PCM編碼原理及FPGA編程技術(shù)實(shí)現(xiàn)PCM數(shù)字基群接口傳輸?shù)退贁?shù)據(jù)的接入

采用PCM編碼原理及FPGA編程技術(shù)實(shí)現(xiàn)PCM數(shù)字基群接口傳輸?shù)退贁?shù)據(jù)的接入
2021-04-30 07:09:04

怎么實(shí)現(xiàn)基于FPGA的IRIG-B編碼器的設(shè)計(jì)?

本文介紹一種基于FPGA并執(zhí)行IRIG-B標(biāo)準(zhǔn)的AC/DC編碼技術(shù),與基于MCU或者DSP和數(shù)字邏輯電路實(shí)現(xiàn)編碼方法相比,該技術(shù)可以大大降低系統(tǒng)的設(shè)計(jì)難度,降低成本,提高B碼的精確性和系統(tǒng)靈活性。
2021-04-29 06:56:12

怎么實(shí)現(xiàn)基于fpga+stm32的數(shù)字示波器設(shè)計(jì)?

怎么實(shí)現(xiàn)基于fpga+stm32的數(shù)字示波器設(shè)計(jì)?
2021-11-15 07:09:58

怎么實(shí)現(xiàn)基于千兆以太網(wǎng)的基帶光纖拉遠(yuǎn)的設(shè)計(jì)?

本文介紹了88E1111的功能和特點(diǎn),并給出了采用88E1111完成數(shù)字微波接力系統(tǒng)基帶光纖拉遠(yuǎn)的接13設(shè)計(jì)方案,解決了基帶光纖拉遠(yuǎn)接口設(shè)計(jì)復(fù)雜、難以實(shí)現(xiàn)的問(wèn)題。
2021-05-27 06:35:36

怎么利用FPGA實(shí)現(xiàn)數(shù)字電壓表的設(shè)計(jì)

怎么利用FPGA實(shí)現(xiàn)數(shù)字電壓表的設(shè)計(jì)?
2021-05-06 10:19:03

怎么利用FPGA和CPLD數(shù)字邏輯實(shí)現(xiàn)ADC?

數(shù)字系統(tǒng)的設(shè)計(jì)人員擅長(zhǎng)在其印制電路板上用FPGA和CPLD將各種處理器、存儲(chǔ)器和標(biāo)準(zhǔn)的功能元件粘合在一起來(lái)實(shí)現(xiàn)數(shù)字設(shè)計(jì)。除了這些數(shù)字功能之外,FPGA和CPLD還可以使用LVDS輸入、簡(jiǎn)單的電阻電容(RC)電路和一些FPGA或CPLD的數(shù)字邏輯單元實(shí)現(xiàn)共模功能,從而構(gòu)建模數(shù)轉(zhuǎn)換器(ADC)。
2019-08-19 06:15:33

求大神分享一種WCDMA系統(tǒng)基帶處理的DSP FPGA實(shí)現(xiàn)方案

本文首先介紹WCDMA系統(tǒng)的無(wú)線信道的基帶發(fā)送方案,說(shuō)明其對(duì)多媒體業(yè)務(wù)的支持以及實(shí)現(xiàn)的復(fù)雜性。然后,從硬件實(shí)現(xiàn)角度,進(jìn)行了DSP和FPGA的性能比較,提出DSP+FPGA基帶發(fā)送的實(shí)現(xiàn)方案,并以基站分系統(tǒng)(BTS)的發(fā)送單元為例,具體給出了該實(shí)現(xiàn)方案在下行無(wú)線信道基帶發(fā)送單元中的應(yīng)用。
2021-05-06 07:40:39

請(qǐng)問(wèn)怎么采用FPGA和集成器件來(lái)實(shí)現(xiàn)IJF編碼?

IJF編碼是什么原理?如何實(shí)現(xiàn)IJF編碼?采用FPGA和集成器件來(lái)實(shí)現(xiàn)IJF編碼
2021-04-13 06:56:04

采用FPGA增量式編碼實(shí)現(xiàn)接口設(shè)計(jì)

,被廣泛應(yīng)用于電機(jī)伺服控制系統(tǒng)中。編碼器按信號(hào)輸出形式分為絕對(duì)式編碼器和增量式編碼器。絕對(duì)式光電編碼器具有輸出數(shù)字量可與PC機(jī)、ARM或FPGA等器件直接接口,無(wú)累積誤差等優(yōu)點(diǎn),但價(jià)格高、制造工藝復(fù)雜
2019-06-10 05:00:08

數(shù)字信號(hào)的基帶傳輸

數(shù)字信號(hào)的基帶傳輸4.1  數(shù)字基帶信號(hào) 4.2  數(shù)字基帶傳輸系統(tǒng) 4.3  無(wú)碼間串?dāng)_的基帶傳輸系統(tǒng) 4.4 基帶數(shù)字信號(hào)的再生中繼傳輸 4.5 多進(jìn)制數(shù)字基帶信號(hào)傳輸
2008-10-22 13:29:590

數(shù)字基帶傳輸系統(tǒng)

5.1  數(shù)字基帶信號(hào)5.2  基帶傳輸?shù)某S么a型5.3  基帶脈沖傳輸與碼間干擾5.4  無(wú)碼間干擾的基帶傳輸特性5.5  部分響應(yīng)系統(tǒng)5.6  無(wú)碼間干擾基帶
2009-04-18 12:17:3031

數(shù)字下變頻的FPGA實(shí)現(xiàn)

本文介紹了數(shù)字下變頻的組成結(jié)構(gòu),并通過(guò)一個(gè)具體的實(shí)例,給出了FPGA 實(shí)現(xiàn)的具體過(guò)程。
2009-11-30 14:11:5234

數(shù)字濾波器在FPGA中的實(shí)現(xiàn)

數(shù)字濾波器在FPGA中的實(shí)現(xiàn)
2010-02-09 10:21:2776

基于FPGA的非編碼無(wú)線模塊的應(yīng)用設(shè)計(jì)

介紹了一種使用射頻技術(shù)的無(wú)線收發(fā)模塊的編解碼應(yīng)用設(shè)計(jì),自主調(diào)制與解調(diào),該方式電路連接簡(jiǎn)單,傳輸距離遠(yuǎn),且不受方向性約束。選用未經(jīng)編碼的無(wú)線模塊,通過(guò)FPGA實(shí)現(xiàn)編碼
2010-07-21 17:40:2427

可變參數(shù)交織編碼器的FPGA實(shí)現(xiàn)

本文介紹了可變參數(shù)交織編碼FPGA實(shí)現(xiàn)的圖形設(shè)計(jì)過(guò)程,給出了完整的設(shè)計(jì)思路和設(shè)計(jì)電路,并對(duì)設(shè)計(jì)進(jìn)行了仿真實(shí)驗(yàn),從仿真圖中可以清楚的看出不同交織深度下的性能指標(biāo)
2010-07-28 17:59:2710

基于FPGA的AVS-P2熵編碼器設(shè)計(jì)

本文重點(diǎn)研究了AVS-P2熵編碼器的算法、結(jié)構(gòu)以及利用FPGA實(shí)現(xiàn)的若干關(guān)鍵問(wèn)題,給出了詳細(xì)的塊變換系數(shù)熵編碼器硬件結(jié)構(gòu),并通過(guò)了仿真驗(yàn)證。實(shí)現(xiàn)中提出了一種新的2D-VLC碼表存儲(chǔ)
2010-08-06 16:37:3824

FPGA在WCDMA基帶測(cè)試系統(tǒng)中的應(yīng)用

本文介紹了基帶測(cè)試系統(tǒng)中,如何應(yīng)用FPGA實(shí)現(xiàn)后臺(tái)計(jì)算機(jī)與測(cè)試環(huán)境數(shù)據(jù)交互以及存儲(chǔ)的電路設(shè)計(jì)流程,并已在某基站測(cè)試系統(tǒng)中成功應(yīng)用。
2010-08-09 15:00:3227

數(shù)字成形濾波器設(shè)計(jì)及FPGA實(shí)現(xiàn)

本文對(duì)數(shù)字基帶信號(hào)脈沖成型濾波的應(yīng)用、原理及實(shí)現(xiàn)進(jìn)行了研究。首先介紹了數(shù)字成型濾波的
2010-10-20 16:07:0458

DCT域數(shù)字水印算法的FPGA實(shí)現(xiàn)

提出一種基于DCT域的數(shù)字水印算法,并用FPGA硬件實(shí)現(xiàn)其中關(guān)鍵部分DCT變換。采用VHDL語(yǔ)言有效設(shè)計(jì)和實(shí)現(xiàn)DCT變換,分析與仿真結(jié)果表明:與軟件實(shí)現(xiàn)相比,用FPGA實(shí)現(xiàn)水印算法具有高
2010-12-28 10:22:1420

WCDMA系統(tǒng)基帶處理的DSP+FPGA實(shí)現(xiàn)方案

摘? 要: 本文在分析WCDMA系統(tǒng)基帶處理方案的基礎(chǔ)上,結(jié)合DSP和FPGA性能的比較,提出了一種在性能、靈活性和性價(jià)比上都比較理想的DSP+FPGA基帶發(fā)送的實(shí)現(xiàn)方案。 引言 隨
2006-03-11 13:29:42670

采用PCM編碼原理及FPGA編程技術(shù)實(shí)現(xiàn)PCM數(shù)字基群接口傳

采用PCM編碼原理及FPGA編程技術(shù)實(shí)現(xiàn)PCM數(shù)字基群接口傳輸?shù)退贁?shù)據(jù)的接入 一、概述 ----高速傳輸系統(tǒng)中低速設(shè)備
2009-02-08 11:19:361691

自適應(yīng)算術(shù)編碼FPGA實(shí)現(xiàn)

摘要: 在簡(jiǎn)單介紹算術(shù)編碼和自適應(yīng)算術(shù)編碼的基礎(chǔ)上,介紹了利用FPGA器件并通過(guò)VHDL語(yǔ)言描述實(shí)現(xiàn)自適應(yīng)算術(shù)編碼的過(guò)程。整個(gè)編碼系統(tǒng)在LTERA公司的MAX+plus Ⅱ軟
2009-06-20 13:40:241026

FPGA數(shù)字電視系統(tǒng)中進(jìn)行級(jí)聯(lián)編碼

摘 要:本文介紹了串型級(jí)聯(lián)編碼的原理,以及它在數(shù)字電視地面?zhèn)鬏斚到y(tǒng)中的應(yīng)用,通過(guò)FPGA設(shè)計(jì)電路實(shí)現(xiàn)編碼過(guò)程,最后說(shuō)明串型級(jí)聯(lián)編碼的應(yīng)用優(yōu)越性。
2009-06-20 13:49:18532

基于分布式算法和FPGA實(shí)現(xiàn)基帶信號(hào)成形的研究

摘要: 提出了一種采用現(xiàn)場(chǎng)可編程門陣列(FPGA實(shí)現(xiàn)基帶信號(hào)成形的FIR數(shù)字濾波器硬件電路的方案。該方案基于分布式算法的思想,利用FPGA豐富的查找表資源,從
2009-06-20 14:07:441086

H.264中二進(jìn)制化編碼器的FPGA實(shí)現(xiàn)

H.264中二進(jìn)制化編碼器的FPGA實(shí)現(xiàn) 1 引言    隨著數(shù)字電視及視頻會(huì)議的發(fā)展以及應(yīng)用,H.264由于其更高的壓縮比、更好的圖像質(zhì)量和良好的網(wǎng)絡(luò)適應(yīng)性而
2009-11-04 10:27:291386

基帶傳輸,基帶傳輸是什么意思

基帶傳輸,基帶傳輸是什么意思 數(shù)字信號(hào)可以直接采用基帶傳輸,所謂基帶就是指基本頻帶。基帶傳輸就是在線路中直接傳送數(shù)字信號(hào)的電脈沖,這是
2010-03-18 14:36:0010901

基于FPGA和CPLD數(shù)字邏輯實(shí)現(xiàn)ADC技術(shù)

基于FPGA和CPLD數(shù)字邏輯實(shí)現(xiàn)ADC技術(shù) 數(shù)字系統(tǒng)的設(shè)計(jì)人員擅長(zhǎng)在其印制電路板上用FPGA和CPLD將各種處理器、存儲(chǔ)器和標(biāo)準(zhǔn)的功能元件粘合在一起來(lái)實(shí)現(xiàn)
2010-05-25 09:39:101309

基于FPGA的CMI編碼系統(tǒng)設(shè)計(jì)

提出了一種基于FPGA并利用Verilog HDL實(shí)現(xiàn)的CMI編碼設(shè)計(jì)方法。研究了CMI碼型的編碼特點(diǎn),提出了利用Altera公司CycloneⅡ系列EP2C5Q型號(hào)FPGA完成CMI編碼功能的方案。在系統(tǒng)程序設(shè)計(jì)中,首先產(chǎn)生m序列,然后程序再對(duì)m序列進(jìn)行CMI碼型變換。在CMI碼型變換過(guò)程中
2011-01-15 15:44:0467

TD-SCDMA系統(tǒng)基帶處理的DSP+FPGA實(shí)現(xiàn)方案

摘要:本文在分析TD-SCDMA系統(tǒng)基帶處理方案的基礎(chǔ)上,提出了一種在性能、靈活性和性價(jià)比方面都比較理想的DSP+FPGA基帶發(fā)送的實(shí)現(xiàn)方案。 關(guān)鍵詞:TD-SCDMA;基帶處理;DSP;FPGA
2011-03-02 01:38:4160

UHF RFID標(biāo)簽基帶處理器的ASIC實(shí)現(xiàn)

設(shè)計(jì)并實(shí)現(xiàn)了一種新穎的超高頻RFID 標(biāo)簽的基帶處理器。該標(biāo)簽以ISO/ IEC 1800026C協(xié)議為基礎(chǔ), 但在反向鏈路通信方面, 在原協(xié)議FM0 編碼/Miller 調(diào)制副載波的基礎(chǔ)上增加了擴(kuò)頻編碼實(shí)現(xiàn),
2011-05-25 10:34:0655

基于FPGA數(shù)字音頻廣播信道編碼器的實(shí)現(xiàn)

介紹了數(shù)字音頻廣播(DAB) 信道編碼 的原理和關(guān)鍵技術(shù),并應(yīng)用單片F(xiàn)LEX10K100 系列FPGA 實(shí)現(xiàn)DAB 信道編碼器。
2011-07-18 17:04:0768

RS連續(xù)編碼器的FPGA設(shè)計(jì)與實(shí)現(xiàn)

介紹了Virtex2Ⅱ系列FPGA (現(xiàn)場(chǎng)可編程門陣列)的時(shí)鐘管理模塊DCM (數(shù)字時(shí)鐘管理器)的結(jié)構(gòu)和功能,詳細(xì)分析了RS(Reed2Solomon)碼編碼器的工作過(guò)程,提出了一種連續(xù)RS編碼器設(shè)計(jì)方案,給出了硬件
2011-09-02 16:25:1383

數(shù)字基帶傳輸系統(tǒng)的FPGA設(shè)計(jì)

為了提高系統(tǒng)的集成度和可靠性, 降低功耗和成本, 增強(qiáng)系統(tǒng)的靈活性, 提出一種采用非常高速積體電路的硬件描述語(yǔ)言( VH DL 語(yǔ)言) 來(lái)設(shè)計(jì)數(shù)字基帶傳輸系統(tǒng)的方法。詳細(xì)闡述數(shù)字基帶
2011-09-30 16:19:4936

DSP+FPGA實(shí)現(xiàn)語(yǔ)音基帶處理系統(tǒng)

本文介紹了一種應(yīng)用于數(shù)字通信領(lǐng)域的語(yǔ)音基帶處理系統(tǒng)。設(shè)計(jì)的目的是把待傳輸?shù)哪M語(yǔ)音信號(hào)轉(zhuǎn)換為數(shù)字基帶信號(hào),使用固定的頻率在信道上傳輸。根據(jù)系統(tǒng)的功能,設(shè)計(jì)中主要采
2011-10-14 17:37:0435

基于FPGA數(shù)字收發(fā)機(jī)信號(hào)處理研究與實(shí)現(xiàn)

本文提出基于FPGA數(shù)字收發(fā)機(jī)信號(hào)處理研究與實(shí)現(xiàn)
2011-11-01 18:20:4250

數(shù)字信號(hào)處理的FPGA實(shí)現(xiàn)_劉凌譯

本書共分8章,主要內(nèi)容包括典型fpga器件的介紹、vhdl硬件描述語(yǔ)言、fpga設(shè)計(jì)中常用軟件簡(jiǎn)介、用fpga實(shí)現(xiàn)數(shù)字信號(hào)處理的數(shù)據(jù)規(guī)劃、多種結(jié)構(gòu)類型的fir數(shù)字濾波器的fpga實(shí)現(xiàn)、不同結(jié)構(gòu)
2011-11-04 15:50:120

FPGA實(shí)現(xiàn)糾錯(cuò)編碼的一種方法

本文提出了一種用FPGA實(shí)現(xiàn)糾錯(cuò)編碼的設(shè)計(jì)思想,并以Altera MAX+PluslI為硬件開(kāi)發(fā)平臺(tái)。利用FPGA編程的特點(diǎn),用軟件編程方法,很好的解決了糾錯(cuò)編碼中存在的碼速變換和實(shí)時(shí)性問(wèn)題,實(shí)現(xiàn)
2011-11-10 17:10:5961

FPGA實(shí)現(xiàn)數(shù)字時(shí)鐘

在Quartus Ⅱ開(kāi)發(fā)環(huán)境下,用Verilog HDL硬件描述語(yǔ)言設(shè)計(jì)了一個(gè)可以在FPGA芯片上實(shí)現(xiàn)數(shù)字時(shí)鐘. 通過(guò)將設(shè)計(jì)代碼下載到FPGA的開(kāi)發(fā)平臺(tái)Altera DE2開(kāi)發(fā)板上進(jìn)行了功能驗(yàn)證. 由于數(shù)字時(shí)鐘的通用
2011-11-29 16:51:43178

基于FPGA的34位串行編碼信號(hào)設(shè)計(jì)與實(shí)現(xiàn)

實(shí)現(xiàn)某專用接口裝置的接口功能檢測(cè),文中詳細(xì)地介紹了一種34位串行碼的編碼方式,并基于FPGA芯片設(shè)計(jì)了該類型編碼的接收、發(fā)送電路。重點(diǎn)分析了電路各模塊的設(shè)計(jì)思路。電路采
2012-06-18 12:37:0941

基帶成形濾波器的數(shù)字設(shè)計(jì)與實(shí)現(xiàn)

根據(jù)基帶成型濾波器的工作原理,文中設(shè)計(jì)出了一種基帶成型濾波器的數(shù)字實(shí)現(xiàn)方案。該方案首先運(yùn)用MATALB仿真工具得到信號(hào)基帶成型后的仿真數(shù)據(jù),并將仿真數(shù)據(jù)存儲(chǔ)在FPGA中,然后通
2012-07-30 10:27:2252

基于FPGA數(shù)字鎖相環(huán)設(shè)計(jì)與實(shí)現(xiàn)

基于FPGA數(shù)字鎖相環(huán)設(shè)計(jì)與實(shí)現(xiàn)技術(shù)論文
2015-10-30 10:38:359

數(shù)字信號(hào)處理的FPGA實(shí)現(xiàn)

本書比較全面地闡述了fpga數(shù)字信號(hào)處理中的應(yīng)用問(wèn)題。本書共分8章,主要內(nèi)容包括典型fpga器件的介紹、vhdl硬件描述語(yǔ)言、fpga設(shè)計(jì)中常用軟件簡(jiǎn)介、用fpga實(shí)現(xiàn)數(shù)字信號(hào)處理的數(shù)據(jù)規(guī)劃、多種
2015-12-23 11:07:4644

數(shù)字圖像邊緣檢測(cè)的FPGA實(shí)現(xiàn)

數(shù)字圖像邊緣檢測(cè)的FPGA實(shí)現(xiàn)......
2016-01-04 15:31:5518

LDPC碼編碼器的FPGA實(shí)現(xiàn)

800Mbps準(zhǔn)循環(huán)LDPC碼編碼器的FPGA實(shí)現(xiàn)
2016-05-09 10:59:2637

FPGA實(shí)現(xiàn)數(shù)字鎖相環(huán)

Xilinx FPGA工程例子源碼:用FPGA實(shí)現(xiàn)數(shù)字鎖相環(huán)
2016-06-07 15:07:4537

數(shù)字信號(hào)處理的FPGA實(shí)現(xiàn)

數(shù)字信號(hào)處理的FPGA實(shí)現(xiàn)
2016-12-14 22:08:2532

基于FPGA技術(shù)的數(shù)字相關(guān)器的設(shè)計(jì)與實(shí)現(xiàn)

基于FPGA技術(shù)的數(shù)字相關(guān)器的設(shè)計(jì)與實(shí)現(xiàn)
2016-12-16 22:23:0014

基于FPGA的全數(shù)字FQPSK調(diào)制器實(shí)現(xiàn)_楊峰

基于FPGA的全數(shù)字FQPSK調(diào)制器實(shí)現(xiàn)_楊峰
2017-03-19 11:38:262

基于FPGA和ARM的GPS基帶處理平臺(tái)設(shè)計(jì)_劉剛

基于FPGA和ARM的GPS基帶處理平臺(tái)設(shè)計(jì)_劉剛
2017-03-19 11:38:261

一種基于FPGA的ZigBee物理層發(fā)射機(jī)的數(shù)字基帶實(shí)現(xiàn)方案_陳

一種基于FPGA的ZigBee物理層發(fā)射機(jī)的數(shù)字基帶實(shí)現(xiàn)方案_陳迪平
2017-03-14 16:54:586

無(wú)線系統(tǒng)中自適應(yīng)數(shù)字調(diào)制、STBC編碼和FFT/IFFT模塊的FPGA實(shí)現(xiàn)方法

針對(duì)自適應(yīng)MIMO-OFDM無(wú)線基帶傳輸系統(tǒng),提出了一種并行復(fù)用的基4-FFT/IFFT算法的FPGA實(shí)現(xiàn)方法,并對(duì)其中的自適應(yīng)數(shù)字調(diào)制、STBC編碼和FFT/IFFT模塊進(jìn)行了FPGA實(shí)現(xiàn)研究
2017-11-15 20:48:014760

JPEG2000 MQ編碼算法的優(yōu)化和FPGA實(shí)現(xiàn)

MQ編碼是一種無(wú)損數(shù)據(jù)壓縮技術(shù),已被JPEG2000標(biāo)準(zhǔn)采用,其高復(fù)雜度成為JPEG2000系統(tǒng)實(shí)現(xiàn)的速度瓶頸。本文在分析MQ編碼算法軟件流程的基礎(chǔ)上提出了一種優(yōu)化的基于流水線處理的MQ編碼算法;并利用Xilinx FPGA的可編程特性詳細(xì)地將此算法模塊化,最后實(shí)現(xiàn)仿真驗(yàn)證。
2017-11-17 17:09:012964

淺析數(shù)字基帶傳輸系統(tǒng)

在一個(gè)數(shù)字系統(tǒng)中,包括了兩個(gè)重要變換: 消息與數(shù)字基帶信號(hào)間的變換(由發(fā)收終端設(shè)備完成) 數(shù)字基帶信號(hào)與信道信號(hào)之間的變換(由調(diào)制解調(diào)器完成) 有些場(chǎng)合可以不經(jīng)調(diào)制解調(diào)過(guò)程,而讓基帶信號(hào)直接進(jìn)行傳輸
2017-11-24 09:31:2628

多天線多載波的數(shù)字上下變頻的FPGA實(shí)現(xiàn)

上下變頻的FPGA實(shí)現(xiàn)方法,以及Altera提供的一種數(shù)字信號(hào)處理的工具,DSP BUILDER。 DUC/DDC的實(shí)現(xiàn)架構(gòu) 以TD-SCDMA的DUC/DDC為例,基帶頻率1.28MHz, 4天線
2017-11-25 02:31:01259

介紹多天線多載波數(shù)字上下變頻的FPGA實(shí)現(xiàn)方法

數(shù)字上變頻/下變頻(DUC/DDC)是數(shù)字中頻設(shè)計(jì)的重要組成部分,其功能是將基帶信號(hào)經(jīng)過(guò)內(nèi)插濾波后變到中頻的頻率,或者將中頻的信號(hào)經(jīng)過(guò)抽取濾波后降到基帶的頻率上。本文的主要目的就是介紹多天線多載波數(shù)字上下變頻的FPGA實(shí)現(xiàn)方法,以及Altera提供的一種數(shù)字信號(hào)處理的工具,DSP BUILDER。
2019-03-13 15:16:581743

如何使用fpga實(shí)現(xiàn)數(shù)字基帶中環(huán)路延時(shí)估計(jì)

基于FPGA芯片Stratix II EP2S60F672C4設(shè)計(jì)實(shí)現(xiàn)數(shù)字基帶預(yù)失真系統(tǒng)中的環(huán)路延遲估計(jì)模塊。該模塊運(yùn)用了一種環(huán)路延遲估計(jì)新方法,易于FPGA實(shí)現(xiàn)。同時(shí),在信號(hào)失真的情況下也能給
2018-12-19 11:04:261477

基于FPGA的Varint編碼設(shè)計(jì)原理和實(shí)現(xiàn)

今天是畫師第二次和各位大俠見(jiàn)面,執(zhí)筆繪畫FPGA江湖,本人最近項(xiàng)目經(jīng)驗(yàn),寫了篇基于FPGA的Varint編碼(壓縮算法)實(shí)現(xiàn),這里分享給大家,僅供參考。如有轉(zhuǎn)載,請(qǐng)?jiān)谖恼碌撞苛粞?,?qǐng)勿隨意轉(zhuǎn)載,否則
2021-04-02 16:29:161580

基于FPGA的增量式光電編碼器的接口電路設(shè)計(jì)與實(shí)現(xiàn)淺析

現(xiàn)場(chǎng)可編程邏輯陣列(FPGA)資源豐富,結(jié)構(gòu)靈活,近年來(lái)發(fā)展迅猛。針對(duì)其特點(diǎn),本文設(shè)計(jì)了基于FPGA的增量式光電編碼器的接口電路,實(shí)現(xiàn)了對(duì)增量式編碼器脈沖信號(hào)的倍頻、鑒相及計(jì)數(shù)等功能。
2021-04-27 13:57:503886

基于FPGA的DDC(數(shù)字下變頻)設(shè)計(jì)與實(shí)現(xiàn)

基于FPGA的DDC(數(shù)字下變頻)設(shè)計(jì)與實(shí)現(xiàn)(電源技術(shù)審稿費(fèi)多少)-該文檔為基于FPGA的DDC(數(shù)字下變頻)設(shè)計(jì)與實(shí)現(xiàn)講解文檔,是一份很不錯(cuò)的參考資料,具有較高參考價(jià)值,感興趣的可以下載看看………………
2021-09-15 12:04:2228

數(shù)字信號(hào)處理的FPGA實(shí)現(xiàn).第3版英文

數(shù)字信號(hào)處理的FPGA實(shí)現(xiàn).第3版英文
2021-10-18 10:55:320

基于FPGA的直接序列擴(kuò)頻和差錯(cuò)控制碼編碼系統(tǒng)的實(shí)現(xiàn)

電子發(fā)燒友網(wǎng)站提供《基于FPGA的直接序列擴(kuò)頻和差錯(cuò)控制碼編碼系統(tǒng)的實(shí)現(xiàn).pdf》資料免費(fèi)下載
2023-11-06 15:57:520

已全部加載完成