電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>可編程邏輯>FPGA/ASIC技術(shù)>基于FPGA的DDC濾波器設(shè)計(jì)與仿真

基于FPGA的DDC濾波器設(shè)計(jì)與仿真

12下一頁全文

本文導(dǎo)航

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴

評(píng)論

查看更多

相關(guān)推薦

基于FPGA的FIR數(shù)字濾波器設(shè)計(jì)方案

在Matlab/Simulink環(huán)境下,采用DSP Builder模塊搭建FIR模型,根據(jù)FDATool工具對(duì)FIR濾波器進(jìn)行了設(shè)計(jì),然后進(jìn)行系統(tǒng)級(jí)仿真和ModelSim功能仿真,其仿真結(jié)果表明
2013-10-08 13:39:068661

梳狀濾波器以及積分梳狀濾波器FPGA實(shí)現(xiàn)

sample rate convert 和 down sample rate convert 的FPGA實(shí)現(xiàn)打下基礎(chǔ)。 1 梳狀濾波器 圖1 梳狀濾波器結(jié)構(gòu) 梳狀濾波器的兩端為1和-1的權(quán)值,具有簡(jiǎn)單
2020-11-21 09:57:005220

DDC濾波器是否影響SNR和SFDR?

什么是抽取?DDC的作用是什么?DDC濾波器應(yīng)該多寬?DDC濾波器的頻率是固定的嗎?DDC濾波器是否影響SNR和SFDR?ADC能否提供多個(gè)DDC?
2021-05-21 06:34:42

FPGA怎樣調(diào)用IP核實(shí)現(xiàn)FIR低通濾波器設(shè)計(jì)?

剛接觸FPGA,想用EP4CE6F17C8這個(gè)型號(hào)的altera芯片實(shí)現(xiàn)低通濾波器設(shè)計(jì),我看能直接調(diào)用IP實(shí)現(xiàn),但是網(wǎng)上的資料都是調(diào)用MATLAB生成濾波器所需的系數(shù),還只是用于仿真,但是我想用
2017-08-04 19:25:28

fpga實(shí)現(xiàn)濾波器

fpga實(shí)現(xiàn)濾波器fpga實(shí)現(xiàn)濾波器在利用FPGA實(shí)現(xiàn)數(shù)字信號(hào)處理方面,分布式算法發(fā)揮著關(guān)鍵作用,與傳統(tǒng)的乘加結(jié)構(gòu)相比,具有并行處理的高效性特點(diǎn)。本文研究了一種16階FIR濾波器FPGA設(shè)計(jì)方法
2012-08-12 11:50:16

fpga實(shí)現(xiàn)濾波器

。本文研究了一種16階FIR濾波器FPGA設(shè)計(jì)方法,采用Verilog HDI語言描述設(shè)計(jì)文件,在Xilinx ISE 7.1i及ModelSim SE 6.1b平臺(tái)上進(jìn)行了實(shí)驗(yàn)仿真及時(shí)序分析,并探討了實(shí)際工程中硬件資源利用率及運(yùn)算速度等問題。
2012-08-11 18:27:41

fpga數(shù)字濾波器

fpga數(shù)字濾波器到底是什么原理呢,求解?
2013-03-30 11:47:12

AD9689芯片DDC抽取濾波器進(jìn)行多芯片同步時(shí)使用什么信號(hào)進(jìn)行復(fù)位同步?

AD9689芯片 DDC抽取濾波器進(jìn)行多芯片同步時(shí)使用什么信號(hào)進(jìn)行復(fù)位同步?是sysref信號(hào)還是什么?datasheet沒有提供說明。
2023-12-07 06:43:42

CIC抽取濾波器MATLAB仿真FPGA實(shí)現(xiàn)

CIC抽取濾波器MATLAB仿真FPGA實(shí)現(xiàn)(1)設(shè)計(jì)理想濾波器目標(biāo):1、濾波器在有效頻段內(nèi)紋波滿足設(shè)計(jì)要求。2、抽取或內(nèi)插處理后在有效頻段內(nèi)不產(chǎn)生混疊。3、濾波器實(shí)現(xiàn)簡(jiǎn)單,需要資源較少。這個(gè)
2021-08-17 08:27:40

FTR濾波器_濾波器原理_有限脈沖響應(yīng)濾波器_明德?lián)Pfpga

FIR濾波器工程說明本案例設(shè)計(jì)了一個(gè)15階的低通線性相位FIR濾波器,采用布萊克曼窗函數(shù)設(shè)計(jì),截止頻率為500HZ,采樣頻率為2000HZ;實(shí)現(xiàn)全串行結(jié)構(gòu)的濾波器;采用具有白噪聲特性的輸入信號(hào),以及
2017-08-02 17:35:24

VIVADO中多級(jí)濾波器之間數(shù)據(jù)如何傳輸

本人正在進(jìn)行關(guān)于基于FPGA的數(shù)字接收機(jī)的畢業(yè)設(shè)計(jì),在進(jìn)行至第一級(jí)半帶濾波器到第二級(jí)半帶濾波器時(shí),在進(jìn)行行為仿真時(shí),第二級(jí)半帶濾波器的輸出數(shù)據(jù)為Z,不能進(jìn)行數(shù)據(jù)傳輸。第一級(jí)半帶濾波器的輸入是一個(gè)16
2018-05-13 16:17:01

gsm低通濾波器的設(shè)計(jì)和仿真

急需《gsm低通濾波器的設(shè)計(jì)與仿真》,論文啊傷不起!拜謝!
2012-12-08 13:54:45

protel濾波器仿真

跪求protel仿真濾波器的例子?。。。。?!{:16:}{:16:}
2013-08-20 15:47:52

一個(gè)基于FPGA的FIR濾波器的問題

最近在做一個(gè)FPGA的課程設(shè)計(jì),遇到一個(gè)比較煩人的問題,希望大神們可以指點(diǎn)迷律。一個(gè)16階的FIR濾波器,采用分布式算法實(shí)現(xiàn)的,采樣率1M,fc=100K,頻率到了30K以上時(shí)就會(huì)出現(xiàn)那些尖刺,很
2018-02-25 19:25:50

低通濾波器FPGA設(shè)計(jì)及仿真

主要任務(wù):1.熟悉低通濾波器的原理及應(yīng)用2.熟悉FPGA的硬件描述3.FPGA如何實(shí)現(xiàn)小數(shù)分頻4.用MATLAB對(duì)低通濾波器的驗(yàn)證預(yù)期成果或目標(biāo):FPGA對(duì)低通濾波器的Verilog(或VHDL
2013-04-04 22:16:11

關(guān)于xilinx中fir濾波器IP核使用

最近進(jìn)行FPGA學(xué)習(xí),使用FIR濾波器過程中出現(xiàn)以下問題:使用FIR濾波器IP核中,輸入數(shù)據(jù)為1~256,濾波器系數(shù)為,coef =-1469,-14299 ,-2185,10587
2018-11-02 17:17:57

基于FPGADDC濾波器該怎么設(shè)計(jì)?

設(shè)計(jì)一般采用CIC、HB、FIR級(jí)聯(lián)的形式組成。同時(shí),由于CIC濾波器的通帶性能實(shí)在太差,所以中間還要加上一級(jí)PFIR濾波器以平滑濾波器的通帶性能。
2019-09-20 06:13:11

基于FPGADDC設(shè)計(jì)及仿真

基于FPGADDC設(shè)計(jì)及仿真
2012-08-06 12:12:19

基于FPGADDC該怎樣去設(shè)計(jì)?

DDC是由哪幾部分組成的?基于FPGADDC該怎樣去設(shè)計(jì)?如何對(duì)DDC進(jìn)行仿真測(cè)試?
2021-05-27 07:16:24

基于FPGA的FIR濾波器IP仿真實(shí)例

基于FPGA的FIR濾波器IP仿真實(shí)例 AT7_Xilinx開發(fā)板(USB3.0+LVDS)資料共享 騰訊鏈接:https://share.weiyun.com/5GQyKKc 百度網(wǎng)盤鏈接
2019-07-16 17:24:22

基于FPGA的FIR濾波器設(shè)計(jì)

設(shè)計(jì)要求: 利用所學(xué)知識(shí),采用VHDL語言完成FIR濾波器的設(shè)計(jì)仿真。要求用VHDL編程設(shè)計(jì)底層文件,頂層文件可任意(可用原理圖方式或文本方式);完成仿真文件(包括MATLAB和QUARTUSII
2015-09-18 14:54:46

基于FPGA的FIR濾波器設(shè)計(jì)與實(shí)現(xiàn)

DSPBuilder設(shè)計(jì)了一個(gè)4階FIR濾波器,并用QuartusII進(jìn)行硬件仿真,仿真結(jié)果表明設(shè)計(jì)FIR濾波器的正確性。同時(shí)使用IPCore開發(fā)基于FPGA的FIR數(shù)字濾波器,利用現(xiàn)有的IPCore在FPGA器件上實(shí)現(xiàn)濾波器設(shè)計(jì)。
2012-08-11 15:32:34

基于FPGA的fir濾波器實(shí)現(xiàn)

基于FPGA的fir濾波器實(shí)現(xiàn)
2017-08-28 19:57:36

基于FPGA的插值濾波器設(shè)計(jì)

源碼-基于FPGA設(shè)計(jì)的插值濾波器設(shè)計(jì).rar (12.14 KB )
2019-05-08 06:35:28

基于FPGA的高階FIR濾波器設(shè)計(jì)

本帖最后由 eehome 于 2013-1-5 09:59 編輯 基于FPGA的高階FIR濾波器設(shè)計(jì)
2012-08-20 18:42:15

基于fpga的fir濾波器的實(shí)現(xiàn)

本帖最后由 eehome 于 2013-1-5 09:50 編輯 基于fpga的fir濾波器的實(shí)現(xiàn)
2012-08-17 16:42:33

基于AccelDSP的自適應(yīng)濾波器設(shè)計(jì)

綜合Matlab語言的結(jié)構(gòu)特點(diǎn),提高硬件使用效率的途徑等設(shè)計(jì)細(xì)節(jié),得到了設(shè)計(jì)代碼和仿真結(jié)果.設(shè)計(jì)經(jīng)過硬件時(shí)序仿真驗(yàn)證,可以在FPGA中直接實(shí)現(xiàn).仿真結(jié)果說明,NLMS濾波器對(duì)于音頻信號(hào)有很好的收斂特性
2010-04-24 09:01:12

基于中檔FPGA的多相濾波器設(shè)計(jì)

的邏輯、需要較少的計(jì)算資源、更低的功耗,并減少了可能的飽和/溢出。可用如今新型的小規(guī)模、中檔的FPGA,如LatticeECP3 來實(shí)現(xiàn)這些濾波器。
2019-07-08 08:01:03

如何為外部輸入配置濾波器?

你好我硬件使用系統(tǒng)生成器在SPARTAN 3E fpga上共同模擬FIR濾波器?,F(xiàn)在我想知道:1)如何為外部輸入(例如正弦波發(fā)生)配置濾波器。2)如果沒有如何給出模擬輸入,fpga是否只接受離散
2019-05-09 07:18:12

如何用FPGA來實(shí)現(xiàn)濾波器的設(shè)計(jì)

濾波器FPGA中的實(shí)現(xiàn)用FPGA來實(shí)現(xiàn)濾波器的設(shè)計(jì)優(yōu)點(diǎn)用FPGA來設(shè)計(jì)濾波器,不但設(shè)計(jì)簡(jiǎn)單,而且成本小,可靠性好。且無需像傳統(tǒng)的設(shè)計(jì)芯片一樣進(jìn)行測(cè)試。主要優(yōu)點(diǎn):設(shè)計(jì)簡(jiǎn)潔。若設(shè)計(jì)有誤,則只需
2021-07-30 07:03:10

如何用中檔FPGA實(shí)現(xiàn)多相濾波器?

使用了較少的邏輯、需要較少的計(jì)算資源、更低的功耗,并減少了可能的飽和/溢出??稍趺崔k,才能用中檔FPGA實(shí)現(xiàn)多相濾波器?
2019-08-06 07:12:39

如何用中檔FPGA實(shí)現(xiàn)多相濾波器

如何用中檔FPGA實(shí)現(xiàn)多相濾波器?
2021-04-29 06:30:57

如何設(shè)計(jì)基于中檔FPGA多相濾波器

使用了較少的邏輯、需要較少的計(jì)算資源、更低的功耗,并減少了可能的飽和/溢出??捎萌缃裥滦偷男∫?guī)模、中檔的FPGA,如LatticeECP3 來實(shí)現(xiàn)這些濾波器
2019-10-22 06:55:44

實(shí)現(xiàn)FPGA數(shù)字下變頻的多類濾波器分組級(jí)聯(lián)技術(shù)分析

實(shí)現(xiàn)FPGA數(shù)字下變頻的多類濾波器分組級(jí)聯(lián)技術(shù)分析1 引 言 本文針對(duì)以下高效算法做了總結(jié),進(jìn)行合理的分組級(jí)聯(lián)并引入流水線技術(shù)以便于在FPGA上實(shí)現(xiàn)。數(shù)字下變頻(DDC)就是通過混頻、抽取和濾波
2009-10-23 10:26:53

并行FIR濾波器Verilog設(shè)計(jì)

寬的運(yùn)算步進(jìn)浪費(fèi)資源而且也沒有必要。在MATLAB中將濾波器系數(shù)量化為指定位寬,會(huì)改變濾波器的頻率特性,因此需要做好仿真,確定量化后的系數(shù)也能滿足FIR的設(shè)計(jì)需求。由上節(jié)可知FPGA最方便實(shí)現(xiàn)的是直接
2020-09-25 17:44:38

怎么利用FPGA實(shí)現(xiàn)FIR濾波器?

并行流水結(jié)構(gòu)FIR的原理是什么基于并行流水線結(jié)構(gòu)的可重配FIR濾波器FPGA實(shí)現(xiàn)
2021-04-29 06:30:54

怎么在FPGA上實(shí)現(xiàn)FIR濾波器的設(shè)計(jì)?

目前FIR濾波器的硬件實(shí)現(xiàn)的方式有哪幾種?怎么在FPGA上實(shí)現(xiàn)FIR濾波器的設(shè)計(jì)?
2021-05-07 06:03:13

數(shù)字濾波器-IIR濾波器原理介紹&Verilog HDL設(shè)計(jì)

分方程如下所示:由差分方程可知IIR濾波器存在反饋,因此在FPGA設(shè)計(jì)時(shí)要考慮到有限字長(zhǎng)效應(yīng)帶來的影響。差分方程中包括兩個(gè)部分:輸入信號(hào)x(n)的M節(jié)延時(shí)網(wǎng)絡(luò),相當(dāng)于FIR的網(wǎng)絡(luò)結(jié)構(gòu),實(shí)現(xiàn)系統(tǒng)的零點(diǎn)
2020-09-27 09:22:58

有源濾波器電路的仿真實(shí)驗(yàn)分享

平臺(tái)版本 Multisim14.1參考書籍《MULTISIM14電子系統(tǒng)仿真與設(shè)計(jì)第2版》10.3.5有源濾波器電路的仿真實(shí)驗(yàn)與分析典型的濾波器電路是由電阻與電容( 或電感)串并聯(lián)構(gòu)成的RC或RL選
2021-12-31 06:29:52

橢圓函數(shù)LC帶通濾波器仿真及設(shè)計(jì)介紹

可以用軟件仿真來說明),以此可以看出,用橢圓函數(shù)濾波器更適合。微帶濾波器通過采用不同的襯底材料可以在很大的頻率范圍內(nèi)應(yīng)用(從幾百M(fèi)Hz到幾十GHz);同軸濾波器由于其微小的尺寸,制作精度很難達(dá)到;波導(dǎo)
2019-06-24 08:29:06

求一種基于FPGA分布式算法的濾波器設(shè)計(jì)的實(shí)現(xiàn)方案

分布式的濾波器算法是什么?一種基于FPGA分布式算法的濾波器設(shè)計(jì)實(shí)現(xiàn)
2021-04-29 07:13:23

FPGA來實(shí)現(xiàn)濾波器的設(shè)計(jì)優(yōu)點(diǎn)有哪些?

FPGA來實(shí)現(xiàn)濾波器的設(shè)計(jì)優(yōu)點(diǎn)有哪些?
2021-11-05 07:59:53

銳截止濾波器仿真

銳截止低通濾波器 可以用matlab仿真嗎具體的怎么做主要是用頻率響應(yīng)掩蔽做的插值因子怎么搞
2014-04-13 14:02:42

零基礎(chǔ)學(xué)FPGA (二十九)濾波器開篇,線性相位FIR濾波器FPGA實(shí)現(xiàn)

HZ的正弦波疊加而成,要求將信號(hào)通過FPGA濾波后的用modelsim仿真,并在matlab中驗(yàn)證濾波器的正確性很多朋友一看,這是嘛呀?即使當(dāng)時(shí)數(shù)字信號(hào)處理學(xué)的還算可以,但是真正到工程中就傻了眼了,好吧
2015-06-16 19:25:35

零基礎(chǔ)學(xué)FPGA(三十) IIR數(shù)字濾波器FPGA實(shí)現(xiàn)筆記

,這些數(shù)據(jù)是無法在FPGA上實(shí)現(xiàn)的,我們需要將他們量化,具體進(jìn)行多少bit的量化才可以設(shè)計(jì)出符合要求的濾波器,需要通過matlab仿真才知道。當(dāng)然,由上面的系數(shù)構(gòu)成的濾波器是理想濾波器,當(dāng)然他們的位數(shù)
2015-07-26 21:44:21

高性能GSPS ADC為基于賽靈思FPGA的設(shè)計(jì)解決方案帶來板載DDC功能

。對(duì)于這種情況,我們利用Artix-7 FPGA 中現(xiàn)有的16GTP 收發(fā)設(shè)計(jì)出采用DDC的八ADC結(jié)構(gòu)(圖2)。這樣能更高效使用賽靈思FPGA資源,成為一組FDM通道的多通道數(shù)字接收。DDC濾波器
2019-06-14 05:00:09

高通濾波器PSPICE仿真問題

最近在用PSPICE進(jìn)行RC高通濾波器仿真??墒?b class="flag-6" style="color: red">仿真的結(jié)果存在一點(diǎn)問題有點(diǎn)想不明白,請(qǐng)給位指點(diǎn)指點(diǎn)。
2016-12-05 14:50:15

超窄帶通信濾波器設(shè)計(jì)研究及仿真

根據(jù)超窄帶調(diào)制的原理詳細(xì)分析了超窄帶濾波器的要求,并使用傳統(tǒng)的數(shù)字濾波器設(shè)計(jì)進(jìn)行了仿真,仿真結(jié)果得出傳統(tǒng)的FIR 或IIR 結(jié)構(gòu)是不可能完成超窄帶濾波的。而晶體由于具
2009-08-15 10:52:1637

基于FPGADDC中抽取濾波系統(tǒng)的設(shè)計(jì)

探討了DDC中抽取濾波系統(tǒng)的設(shè)計(jì)方法和基于DSP Builder的具體實(shí)現(xiàn)方案,采用CIC濾波器、HB濾波器、FIR濾波器三級(jí)級(jí)聯(lián)的方式來降低采樣率,并進(jìn)行了模型仿真,結(jié)果表明設(shè)計(jì)是可行的
2009-09-17 11:35:0823

多相濾波器組信道化接收機(jī)的FPGA仿真實(shí)現(xiàn)

多相濾波器組信道化接收機(jī)的FPGA 仿真實(shí)現(xiàn)趙偉 王靜 李偉偉(大連海事大學(xué) 信息工程學(xué)院 遼寧 大連 116026)摘要:軟件無線電理論中的信道化接收機(jī)理論在多信號(hào)同時(shí)提取
2009-12-15 15:04:5133

數(shù)字濾波器FPGA中的實(shí)現(xiàn)

數(shù)字濾波器FPGA中的實(shí)現(xiàn)
2010-02-09 10:21:2776

基于FPGA的程控濾波器設(shè)計(jì)與實(shí)現(xiàn)

設(shè)計(jì)一個(gè)由現(xiàn)場(chǎng)可編程門陣列(FPGA)控制的濾波器。該濾波器主要由3個(gè)模塊組成:前置放大、濾波電路、FPGA顯示與控制電路等利用FPGA作為放大器及程控濾波器電路中繼電器組的控制模
2010-07-17 18:00:0945

IIR數(shù)字濾波器設(shè)計(jì)-在FPGA上實(shí)現(xiàn)任意階IIR數(shù)字濾波器

IIR數(shù)字濾波器設(shè)計(jì)-在FPGA上實(shí)現(xiàn)任意階IIR數(shù)字濾波器 摘 要:本文介紹了一種采用級(jí)聯(lián)結(jié)構(gòu)在FPGA上實(shí)現(xiàn)任意階IIR數(shù)字濾波器的方法。此
2008-01-16 09:45:392276

matlab濾波器設(shè)計(jì)-IIR濾波器的設(shè)計(jì)與仿真

matlab濾波器設(shè)計(jì)-IIR濾波器的設(shè)計(jì)與仿真 1 引言    在現(xiàn)代通信系統(tǒng)中,由于信號(hào)中經(jīng)?;煊懈鞣N復(fù)雜成分,所以很多信號(hào)的處理和分析
2008-01-16 09:51:285075

高效FIR濾波器的設(shè)計(jì)與仿真-基于FPGA

高效FIR濾波器的設(shè)計(jì)與仿真-基于FPGA 摘要:該文在介紹有限沖激響應(yīng)(FIR)數(shù)字濾波器理論及常見實(shí)現(xiàn)方法的基礎(chǔ)上,提出了一種基于FPGA的高效實(shí)現(xiàn)方案。
2008-01-16 09:56:021456

如何用用FPGA實(shí)現(xiàn)FIR濾波器

如何用用FPGA實(shí)現(xiàn)FIR濾波器 你接到要求用FPGA實(shí)現(xiàn)FIR濾波器的任務(wù)時(shí),也許會(huì)想起在學(xué)校里所學(xué)的FIR基礎(chǔ)知識(shí),但是下一步該做什么呢?哪些參數(shù)是重
2009-03-30 12:25:454503

CPLD基于FPGA實(shí)現(xiàn)FIR濾波器的研究

摘要: 針對(duì)在FPGA中實(shí)現(xiàn)FIR濾波器的關(guān)鍵--乘法運(yùn)算的高效實(shí)現(xiàn)進(jìn)行了研究,給了了將乘法化為查表的DA算法,并采用這一算法設(shè)計(jì)了FIR濾波器。通過FPGA仿零點(diǎn)驗(yàn)證
2009-06-20 14:09:36677

FIR帶通濾波器FPGA實(shí)現(xiàn)

FIR帶通濾波器FPGA實(shí)現(xiàn) 引 言??? 在FPGA應(yīng)用中,比較廣泛而基礎(chǔ)的就是數(shù)字濾波器。根據(jù)其單位沖激響應(yīng)函數(shù)的時(shí)域特性可分為無限沖擊響應(yīng)(Infinite
2009-11-13 09:55:186564

LMS自適應(yīng)濾波器仿真與實(shí)現(xiàn)

LMS自適應(yīng)濾波器仿真與實(shí)現(xiàn)  0 引言   自適應(yīng)濾波器可廣泛應(yīng)用于系統(tǒng)識(shí)別、信號(hào)處理和數(shù)字通信等許多領(lǐng)域。而超大規(guī)模集成電路和FPGA的飛速發(fā)展,也促
2009-12-16 10:41:567406

基于FPGA的數(shù)字濾波器的設(shè)計(jì)與實(shí)現(xiàn)

基于FPGA的數(shù)字濾波器的設(shè)計(jì)與實(shí)現(xiàn)    在信息信號(hào)處理過程中,如對(duì)信號(hào)的過濾、檢測(cè)、預(yù)測(cè)等,都要使用到濾波器,數(shù)字濾波器是數(shù)字信號(hào)處理中使用最廣泛的一
2010-01-07 10:45:353475

FPGA的Kalman濾波器的設(shè)計(jì)

FPGA的Kalman濾波器的設(shè)計(jì) 摘要:針對(duì)電路設(shè)計(jì)中經(jīng)常碰到數(shù)據(jù)的噪聲干擾現(xiàn)象,提出了一種Kalman濾波FPGA實(shí)現(xiàn)方法。該方法采用了TI公司的高精度模數(shù)轉(zhuǎn)換
2010-04-13 13:32:462566

CIC抽取濾波器的改進(jìn)及其FPGA的實(shí)現(xiàn)

為補(bǔ)償傳統(tǒng)CIC濾波器的通帶衰減,提出一種改進(jìn)型的CIC抽取濾波器,即在SCIC濾波器之后級(jí)聯(lián)一個(gè)二階多項(xiàng)式內(nèi)插濾波器。基于硬件實(shí)現(xiàn)的要求,給出改進(jìn)型CIC濾波器FPGA高效實(shí)現(xiàn)原理圖。仿真結(jié)果表明改進(jìn)的CIC濾波器具有更好的通阻帶特性。
2011-03-15 14:06:3552

基于MATLAB和FPGA的CIC濾波器的設(shè)計(jì)

基于多速率信號(hào)處理原理,設(shè)計(jì)了用于下變頻的CIC抽取濾波器,由于CIC濾波器結(jié)構(gòu)只用到加法器和延遲器,沒有乘法器,很適合用FPGA來實(shí)現(xiàn),所以本文分析了CIC濾波器的原理,性能及影
2011-08-26 15:12:11160

基于FPGADDC設(shè)計(jì)及仿真

在軟件無線電數(shù)字接收機(jī)中,從AD前端采集過來的數(shù)字信號(hào)頻率高達(dá)72 MHz,如此高的頻率使得后端DSP不能直接完成相關(guān)的數(shù)字信號(hào)處理任務(wù)。因此合理的設(shè)計(jì)基于FPGADDC,以降低數(shù)字信
2012-06-18 13:34:4649

基帶成形濾波器的數(shù)字設(shè)計(jì)與實(shí)現(xiàn)

根據(jù)基帶成型濾波器的工作原理,文中設(shè)計(jì)出了一種基帶成型濾波器的數(shù)字實(shí)現(xiàn)方案。該方案首先運(yùn)用MATALB仿真工具得到信號(hào)基帶成型后的仿真數(shù)據(jù),并將仿真數(shù)據(jù)存儲(chǔ)在FPGA中,然后通
2012-07-30 10:27:2252

基于FPGA設(shè)計(jì)的FIR濾波器的實(shí)現(xiàn)與對(duì)比

描述了基于FPGA的FIR濾波器設(shè)計(jì)。根據(jù)FIR的原理及嚴(yán)格線性相位濾波器具有偶對(duì)稱的性質(zhì)給出了FIR濾波器的4種結(jié)構(gòu),即直接乘加結(jié)構(gòu)、乘法器復(fù)用結(jié)構(gòu)、乘累加結(jié)構(gòu)、DA算法。在本文中給
2012-11-09 17:32:37121

基于FPGADDC中CIC濾波器的設(shè)計(jì)

文中基于多速率數(shù)字信號(hào)處理原理,設(shè)計(jì)了用于數(shù)字下變頻技術(shù)的CIC抽取濾波器。通過分析CIC濾波器的原理及性能參數(shù),利用MATLAB設(shè)計(jì)了符合系統(tǒng)要求的CIC濾波器,并通過FPGA實(shí)現(xiàn)了CI
2013-04-15 19:29:2871

基于matlab和fpga的FIR濾波器設(shè)計(jì)

基于matlab和fpga的FIR濾波器設(shè)計(jì),有興趣的同學(xué)可以下載學(xué)習(xí)
2016-04-27 15:51:5856

基于FPGA的FIR濾波器設(shè)計(jì)與實(shí)現(xiàn)

基于FPGA的FIR濾波器設(shè)計(jì)與實(shí)現(xiàn),下來看看
2016-05-10 11:49:0238

濾波器基于Simulink的數(shù)字濾波器仿真

濾波器基于Simulink的數(shù)字濾波器仿真。
2016-05-17 09:49:5120

Filter_Solutions仿真濾波器

自動(dòng)設(shè)計(jì)仿真濾波器軟件
2017-03-01 12:00:0521

基于FPGA的高階大位寬超聲相控陣濾波器設(shè)計(jì)_馬駿

基于FPGA的高階大位寬超聲相控陣濾波器設(shè)計(jì)_馬駿
2017-03-19 11:38:262

數(shù)字下變頻中抽取濾波器的設(shè)計(jì)及FPGA實(shí)現(xiàn)

ISE 12.3在Xilinx xc5vsx95t-2ff1136 FPGA上實(shí)現(xiàn)了一種下采樣率為64的抽取濾波器。Modelsim仿真結(jié)果表明,該抽取濾波器設(shè)計(jì)是有效的,達(dá)到了設(shè)計(jì)指標(biāo)。
2017-11-17 09:01:555303

基于單圖像向?qū)?b class="flag-6" style="color: red">濾波器的整數(shù)FPGA設(shè)計(jì)結(jié)構(gòu)

對(duì)一種單圖像向?qū)?b class="flag-6" style="color: red">濾波器的高性能FPGA設(shè)計(jì)結(jié)構(gòu)進(jìn)行了分析,發(fā)現(xiàn)其中的均值濾波器存在設(shè)計(jì)缺陷,據(jù)此提出了一種向?qū)?b class="flag-6" style="color: red">濾波器的整數(shù)FPGA設(shè)計(jì)結(jié)構(gòu)。通過改變均值濾波器的數(shù)據(jù)累加順序,減少了存儲(chǔ)資源
2017-11-22 15:43:1212

FPGA的FIR抽取濾波器設(shè)計(jì)教程

FPGA實(shí)現(xiàn)抽取濾波器比較復(fù)雜,主要是因?yàn)樵?b class="flag-6" style="color: red">FPGA中缺乏實(shí)現(xiàn)乘法運(yùn)算的有效結(jié)構(gòu),現(xiàn)在,FPGA中集成了硬件乘法器,使FPGA在數(shù)字信號(hào)處理方面有了長(zhǎng)足的進(jìn)步。本文介紹了一種采用Xilinx公司的XC2V1000實(shí)現(xiàn)FIR抽取濾波器的設(shè)計(jì)方法。
2018-04-28 11:50:001073

基于FPGA的可調(diào)FIR濾波器在實(shí)際通信系統(tǒng)中的實(shí)現(xiàn)方法設(shè)計(jì)

基于靈活自適應(yīng)的空口波形技術(shù)FOFDM(Filtered OFDM)是現(xiàn)代通信技術(shù)的研究熱點(diǎn),設(shè)計(jì)并實(shí)現(xiàn)可調(diào)FIR濾波器是實(shí)現(xiàn)該技術(shù)的核心工作之一。本文設(shè)計(jì)的基于FPGA的可調(diào)節(jié)FIR濾波器系數(shù)
2018-07-23 17:21:002372

使用FPGA實(shí)現(xiàn)自適應(yīng)卡爾曼濾波器的設(shè)計(jì)論文說明

在視頻圖像獲取過程中“由于噪聲對(duì)圖像序列的降質(zhì)”需要設(shè)計(jì)實(shí)時(shí)噪聲濾波器。討論了視頻圖像的卡爾曼濾波問題及自適應(yīng)卡爾曼濾波算法“并討論了自適應(yīng)卡爾曼濾波算法的簡(jiǎn)化”以利于硬件實(shí)現(xiàn)自適應(yīng)卡爾曼濾波器“并進(jìn)行了簡(jiǎn)化算法仿真”完成基于FPGA實(shí)現(xiàn)的實(shí)時(shí)自適應(yīng)卡爾曼濾波器的設(shè)計(jì)。
2021-01-22 14:29:2922

使用FPGA實(shí)現(xiàn)自適應(yīng)卡爾曼濾波器的設(shè)計(jì)論文說明

在視頻圖像獲取過程中“由于噪聲對(duì)圖像序列的降質(zhì)”需要設(shè)計(jì)實(shí)時(shí)噪聲濾波器。討論了視頻圖像的卡爾曼濾波問題及自適應(yīng)卡爾曼濾波算法“并討論了自適應(yīng)卡爾曼濾波算法的簡(jiǎn)化”以利于硬件實(shí)現(xiàn)自適應(yīng)卡爾曼濾波器“并進(jìn)行了簡(jiǎn)化算法仿真”完成基于FPGA實(shí)現(xiàn)的實(shí)時(shí)自適應(yīng)卡爾曼濾波器的設(shè)計(jì)。
2021-01-22 14:29:2913

如何使用FPGA實(shí)現(xiàn)分布式算法的高階FIR濾波器

提出一種新的高階FIR濾波器FPGA實(shí)現(xiàn)方法。該方法運(yùn)用多相分解結(jié)構(gòu)對(duì)高階FIR濾波器進(jìn)行降階處理,采用改進(jìn)的分布式算法來實(shí)現(xiàn)降階后的FIR濾波器。設(shè)計(jì)了一系列階數(shù)從8到1 024的FIR濾波器
2021-03-23 15:44:5430

DDC_FPGA實(shí)現(xiàn)

DDC_FPGA實(shí)現(xiàn)(通信電源技術(shù)期刊投稿)-該文檔為DDC_FPGA實(shí)現(xiàn)總結(jié)文檔,是一份很不錯(cuò)的參考資料,具有較高參考價(jià)值,感興趣的可以下載看看………………
2021-08-31 18:29:2614

基于FPGA的數(shù)字下變頻器(DDC)的設(shè)計(jì)

基于FPGA的數(shù)字下變頻器(DDC)的設(shè)計(jì)(ups電源技術(shù)轉(zhuǎn)讓)-基于FPGA的數(shù)字下變頻器(DDC)的設(shè)計(jì).適合新手學(xué)習(xí)參考
2021-09-16 11:43:5237

有源電力濾波器APF仿真模型

有源電力濾波器APF仿真模型
2021-11-13 15:53:1724

串行FIR濾波器MATLAB與FPGA實(shí)現(xiàn)

本文介紹了設(shè)計(jì)濾波器FPGA實(shí)現(xiàn)步驟,并結(jié)合杜勇老師的書籍中的串行FIR濾波器部分進(jìn)行一步步實(shí)現(xiàn)硬件設(shè)計(jì),對(duì)書中的架構(gòu)做了簡(jiǎn)單的優(yōu)化,并進(jìn)行了仿真驗(yàn)證。
2023-05-24 10:56:34552

并行FIR濾波器MATLAB與FPGA實(shí)現(xiàn)

本文介紹了設(shè)計(jì)濾波器FPGA實(shí)現(xiàn)步驟,并結(jié)合杜勇老師的書籍中的并行FIR濾波器部分進(jìn)行一步步實(shí)現(xiàn)硬件設(shè)計(jì),對(duì)書中的架構(gòu)做了復(fù)現(xiàn)以及解讀,并進(jìn)行了仿真驗(yàn)證。
2023-05-24 10:57:36653

Verilog CIC濾波器設(shè)計(jì)

積分梳狀濾波器(CIC,Cascaded Integrator Comb),一般用于數(shù)字下變頻(DDC)和數(shù)字上變頻(DUC)系統(tǒng)。
2023-06-01 11:05:411166

FIR濾波器代碼及仿真設(shè)計(jì)

上文 FPGA數(shù)字信號(hào)處理之濾波器2_使用dsp48e1的fir濾波器設(shè)計(jì)完成了結(jié)構(gòu)設(shè)計(jì)。
2023-06-02 12:36:22718

耦合微帶濾波器版圖設(shè)計(jì)仿真

完成濾波器的原理圖后,還需要對(duì)濾波器生成版圖進(jìn)一步的仿真驗(yàn)證。首先對(duì)濾波器生產(chǎn)版圖,之后再對(duì)版圖進(jìn)行仿真驗(yàn)證。
2023-06-30 10:38:35781

已全部加載完成