電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

電子發(fā)燒友網>可編程邏輯>FPGA/ASIC技術>HB濾波器設計 - 基于FPGA的DDC濾波器設計與仿真

HB濾波器設計 - 基于FPGA的DDC濾波器設計與仿真

上一頁12全文

本文導航

收藏

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴

評論

查看更多

相關推薦

基于FPGA的FIR數字濾波器設計方案

在Matlab/Simulink環(huán)境下,采用DSP Builder模塊搭建FIR模型,根據FDATool工具對FIR濾波器進行了設計,然后進行系統(tǒng)級仿真和ModelSim功能仿真,其仿真結果表明
2013-10-08 13:39:068661

梳狀濾波器以及積分梳狀濾波器FPGA實現

sample rate convert 和 down sample rate convert 的FPGA實現打下基礎。 1 梳狀濾波器 圖1 梳狀濾波器結構 梳狀濾波器的兩端為1和-1的權值,具有簡單
2020-11-21 09:57:005220

DDC濾波器是否影響SNR和SFDR?

什么是抽???DDC的作用是什么?DDC濾波器應該多寬?DDC濾波器的頻率是固定的嗎?DDC濾波器是否影響SNR和SFDR?ADC能否提供多個DDC?
2021-05-21 06:34:42

FPGA怎樣調用IP核實現FIR低通濾波器設計?

剛接觸FPGA,想用EP4CE6F17C8這個型號的altera芯片實現低通濾波器設計,我看能直接調用IP實現,但是網上的資料都是調用MATLAB生成濾波器所需的系數,還只是用于仿真,但是我想用
2017-08-04 19:25:28

fpga實現濾波器

fpga實現濾波器fpga實現濾波器在利用FPGA實現數字信號處理方面,分布式算法發(fā)揮著關鍵作用,與傳統(tǒng)的乘加結構相比,具有并行處理的高效性特點。本文研究了一種16階FIR濾波器FPGA設計方法
2012-08-12 11:50:16

fpga實現濾波器

。本文研究了一種16階FIR濾波器FPGA設計方法,采用Verilog HDI語言描述設計文件,在Xilinx ISE 7.1i及ModelSim SE 6.1b平臺上進行了實驗仿真及時序分析,并探討了實際工程中硬件資源利用率及運算速度等問題。
2012-08-11 18:27:41

fpga數字濾波器

fpga數字濾波器到底是什么原理呢,求解?
2013-03-30 11:47:12

AD9689芯片DDC抽取濾波器進行多芯片同步時使用什么信號進行復位同步?

AD9689芯片 DDC抽取濾波器進行多芯片同步時使用什么信號進行復位同步?是sysref信號還是什么?datasheet沒有提供說明。
2023-12-07 06:43:42

CIC抽取濾波器MATLAB仿真FPGA實現

CIC抽取濾波器MATLAB仿真FPGA實現(1)設計理想濾波器目標:1、濾波器在有效頻段內紋波滿足設計要求。2、抽取或內插處理后在有效頻段內不產生混疊。3、濾波器實現簡單,需要資源較少。這個
2021-08-17 08:27:40

FTR濾波器_濾波器原理_有限脈沖響應濾波器_明德?lián)Pfpga

FIR濾波器工程說明本案例設計了一個15階的低通線性相位FIR濾波器,采用布萊克曼窗函數設計,截止頻率為500HZ,采樣頻率為2000HZ;實現全串行結構的濾波器;采用具有白噪聲特性的輸入信號,以及
2017-08-02 17:35:24

VIVADO中多級濾波器之間數據如何傳輸

本人正在進行關于基于FPGA的數字接收機的畢業(yè)設計,在進行至第一級半帶濾波器到第二級半帶濾波器時,在進行行為仿真時,第二級半帶濾波器的輸出數據為Z,不能進行數據傳輸。第一級半帶濾波器的輸入是一個16
2018-05-13 16:17:01

gsm低通濾波器的設計和仿真

急需《gsm低通濾波器的設計與仿真》,論文啊傷不起!拜謝!
2012-12-08 13:54:45

protel濾波器仿真

跪求protel仿真濾波器的例子?。。。。?!{:16:}{:16:}
2013-08-20 15:47:52

一個基于FPGA的FIR濾波器的問題

最近在做一個FPGA的課程設計,遇到一個比較煩人的問題,希望大神們可以指點迷律。一個16階的FIR濾波器,采用分布式算法實現的,采樣率1M,fc=100K,頻率到了30K以上時就會出現那些尖刺,很
2018-02-25 19:25:50

低通濾波器FPGA設計及仿真

主要任務:1.熟悉低通濾波器的原理及應用2.熟悉FPGA的硬件描述3.FPGA如何實現小數分頻4.用MATLAB對低通濾波器的驗證預期成果或目標:FPGA對低通濾波器的Verilog(或VHDL
2013-04-04 22:16:11

關于xilinx中fir濾波器IP核使用

最近進行FPGA學習,使用FIR濾波器過程中出現以下問題:使用FIR濾波器IP核中,輸入數據為1~256,濾波器系數為,coef =-1469,-14299 ,-2185,10587
2018-11-02 17:17:57

基于FPGADDC濾波器該怎么設計?

設計一般采用CIC、HB、FIR級聯(lián)的形式組成。同時,由于CIC濾波器的通帶性能實在太差,所以中間還要加上一級PFIR濾波器以平滑濾波器的通帶性能。
2019-09-20 06:13:11

基于FPGADDC設計及仿真

基于FPGADDC設計及仿真
2012-08-06 12:12:19

基于FPGADDC該怎樣去設計?

DDC是由哪幾部分組成的?基于FPGADDC該怎樣去設計?如何對DDC進行仿真測試?
2021-05-27 07:16:24

基于FPGA的FIR濾波器IP仿真實例

基于FPGA的FIR濾波器IP仿真實例 AT7_Xilinx開發(fā)板(USB3.0+LVDS)資料共享 騰訊鏈接:https://share.weiyun.com/5GQyKKc 百度網盤鏈接
2019-07-16 17:24:22

基于FPGA的FIR濾波器設計

設計要求: 利用所學知識,采用VHDL語言完成FIR濾波器的設計仿真。要求用VHDL編程設計底層文件,頂層文件可任意(可用原理圖方式或文本方式);完成仿真文件(包括MATLAB和QUARTUSII
2015-09-18 14:54:46

基于FPGA的FIR濾波器設計與實現

DSPBuilder設計了一個4階FIR濾波器,并用QuartusII進行硬件仿真,仿真結果表明設計FIR濾波器的正確性。同時使用IPCore開發(fā)基于FPGA的FIR數字濾波器,利用現有的IPCore在FPGA器件上實現濾波器設計。
2012-08-11 15:32:34

基于FPGA的fir濾波器實現

基于FPGA的fir濾波器實現
2017-08-28 19:57:36

基于FPGA的插值濾波器設計

源碼-基于FPGA設計的插值濾波器設計.rar (12.14 KB )
2019-05-08 06:35:28

基于FPGA的高階FIR濾波器設計

本帖最后由 eehome 于 2013-1-5 09:59 編輯 基于FPGA的高階FIR濾波器設計
2012-08-20 18:42:15

基于fpga的fir濾波器的實現

本帖最后由 eehome 于 2013-1-5 09:50 編輯 基于fpga的fir濾波器的實現
2012-08-17 16:42:33

基于AccelDSP的自適應濾波器設計

綜合Matlab語言的結構特點,提高硬件使用效率的途徑等設計細節(jié),得到了設計代碼和仿真結果.設計經過硬件時序仿真驗證,可以在FPGA中直接實現.仿真結果說明,NLMS濾波器對于音頻信號有很好的收斂特性
2010-04-24 09:01:12

基于中檔FPGA的多相濾波器設計

的邏輯、需要較少的計算資源、更低的功耗,并減少了可能的飽和/溢出??捎萌缃裥滦偷男∫?guī)模、中檔的FPGA,如LatticeECP3 來實現這些濾波器
2019-07-08 08:01:03

如何為外部輸入配置濾波器?

你好我硬件使用系統(tǒng)生成器在SPARTAN 3E fpga上共同模擬FIR濾波器。現在我想知道:1)如何為外部輸入(例如正弦波發(fā)生)配置濾波器。2)如果沒有如何給出模擬輸入,fpga是否只接受離散
2019-05-09 07:18:12

如何用FPGA來實現濾波器的設計

濾波器FPGA中的實現用FPGA來實現濾波器的設計優(yōu)點用FPGA來設計濾波器,不但設計簡單,而且成本小,可靠性好。且無需像傳統(tǒng)的設計芯片一樣進行測試。主要優(yōu)點:設計簡潔。若設計有誤,則只需
2021-07-30 07:03:10

如何用中檔FPGA實現多相濾波器?

使用了較少的邏輯、需要較少的計算資源、更低的功耗,并減少了可能的飽和/溢出??稍趺崔k,才能用中檔FPGA實現多相濾波器?
2019-08-06 07:12:39

如何用中檔FPGA實現多相濾波器

如何用中檔FPGA實現多相濾波器?
2021-04-29 06:30:57

如何設計基于中檔FPGA多相濾波器

使用了較少的邏輯、需要較少的計算資源、更低的功耗,并減少了可能的飽和/溢出。可用如今新型的小規(guī)模、中檔的FPGA,如LatticeECP3 來實現這些濾波器。
2019-10-22 06:55:44

實現FPGA數字下變頻的多類濾波器分組級聯(lián)技術分析

實現FPGA數字下變頻的多類濾波器分組級聯(lián)技術分析1 引 言 本文針對以下高效算法做了總結,進行合理的分組級聯(lián)并引入流水線技術以便于在FPGA上實現。數字下變頻(DDC)就是通過混頻、抽取和濾波
2009-10-23 10:26:53

并行FIR濾波器Verilog設計

寬的運算步進浪費資源而且也沒有必要。在MATLAB中將濾波器系數量化為指定位寬,會改變濾波器的頻率特性,因此需要做好仿真,確定量化后的系數也能滿足FIR的設計需求。由上節(jié)可知FPGA最方便實現的是直接
2020-09-25 17:44:38

怎么利用FPGA實現FIR濾波器

并行流水結構FIR的原理是什么基于并行流水線結構的可重配FIR濾波器FPGA實現
2021-04-29 06:30:54

怎么在FPGA上實現FIR濾波器的設計?

目前FIR濾波器的硬件實現的方式有哪幾種?怎么在FPGA上實現FIR濾波器的設計?
2021-05-07 06:03:13

數字濾波器-IIR濾波器原理介紹&Verilog HDL設計

分方程如下所示:由差分方程可知IIR濾波器存在反饋,因此在FPGA設計時要考慮到有限字長效應帶來的影響。差分方程中包括兩個部分:輸入信號x(n)的M節(jié)延時網絡,相當于FIR的網絡結構,實現系統(tǒng)的零點
2020-09-27 09:22:58

有源濾波器電路的仿真實驗分享

平臺版本 Multisim14.1參考書籍《MULTISIM14電子系統(tǒng)仿真與設計第2版》10.3.5有源濾波器電路的仿真實驗與分析典型的濾波器電路是由電阻與電容( 或電感)串并聯(lián)構成的RC或RL選
2021-12-31 06:29:52

橢圓函數LC帶通濾波器仿真及設計介紹

可以用軟件仿真來說明),以此可以看出,用橢圓函數濾波器更適合。微帶濾波器通過采用不同的襯底材料可以在很大的頻率范圍內應用(從幾百MHz到幾十GHz);同軸濾波器由于其微小的尺寸,制作精度很難達到;波導
2019-06-24 08:29:06

求一種基于FPGA分布式算法的濾波器設計的實現方案

分布式的濾波器算法是什么?一種基于FPGA分布式算法的濾波器設計實現
2021-04-29 07:13:23

FPGA來實現濾波器的設計優(yōu)點有哪些?

FPGA來實現濾波器的設計優(yōu)點有哪些?
2021-11-05 07:59:53

銳截止濾波器仿真

銳截止低通濾波器 可以用matlab仿真嗎具體的怎么做主要是用頻率響應掩蔽做的插值因子怎么搞
2014-04-13 14:02:42

零基礎學FPGA (二十九)濾波器開篇,線性相位FIR濾波器FPGA實現

HZ的正弦波疊加而成,要求將信號通過FPGA濾波后的用modelsim仿真,并在matlab中驗證濾波器的正確性很多朋友一看,這是嘛呀?即使當時數字信號處理學的還算可以,但是真正到工程中就傻了眼了,好吧
2015-06-16 19:25:35

零基礎學FPGA(三十) IIR數字濾波器FPGA實現筆記

,這些數據是無法在FPGA上實現的,我們需要將他們量化,具體進行多少bit的量化才可以設計出符合要求的濾波器,需要通過matlab仿真才知道。當然,由上面的系數構成的濾波器是理想濾波器,當然他們的位數
2015-07-26 21:44:21

高性能GSPS ADC為基于賽靈思FPGA的設計解決方案帶來板載DDC功能

。對于這種情況,我們利用Artix-7 FPGA 中現有的16GTP 收發(fā)設計出采用DDC的八ADC結構(圖2)。這樣能更高效使用賽靈思FPGA資源,成為一組FDM通道的多通道數字接收。DDC濾波器
2019-06-14 05:00:09

高通濾波器PSPICE仿真問題

最近在用PSPICE進行RC高通濾波器仿真??墒?b class="flag-6" style="color: red">仿真的結果存在一點問題有點想不明白,請給位指點指點。
2016-12-05 14:50:15

超窄帶通信濾波器設計研究及仿真

根據超窄帶調制的原理詳細分析了超窄帶濾波器的要求,并使用傳統(tǒng)的數字濾波器設計進行了仿真,仿真結果得出傳統(tǒng)的FIR 或IIR 結構是不可能完成超窄帶濾波的。而晶體由于具
2009-08-15 10:52:1637

基于FPGADDC中抽取濾波系統(tǒng)的設計

探討了DDC中抽取濾波系統(tǒng)的設計方法和基于DSP Builder的具體實現方案,采用CIC濾波器、HB濾波器、FIR濾波器三級級聯(lián)的方式來降低采樣率,并進行了模型仿真,結果表明設計是可行的
2009-09-17 11:35:0823

多相濾波器組信道化接收機的FPGA仿真實現

多相濾波器組信道化接收機的FPGA 仿真實現趙偉 王靜 李偉偉(大連海事大學 信息工程學院 遼寧 大連 116026)摘要:軟件無線電理論中的信道化接收機理論在多信號同時提取
2009-12-15 15:04:5133

數字濾波器FPGA中的實現

數字濾波器FPGA中的實現
2010-02-09 10:21:2776

基于FPGA的程控濾波器設計與實現

設計一個由現場可編程門陣列(FPGA)控制的濾波器。該濾波器主要由3個模塊組成:前置放大、濾波電路、FPGA顯示與控制電路等利用FPGA作為放大器及程控濾波器電路中繼電器組的控制模
2010-07-17 18:00:0945

IIR數字濾波器設計-在FPGA上實現任意階IIR數字濾波器

IIR數字濾波器設計-在FPGA上實現任意階IIR數字濾波器 摘 要:本文介紹了一種采用級聯(lián)結構在FPGA上實現任意階IIR數字濾波器的方法。此
2008-01-16 09:45:392276

matlab濾波器設計-IIR濾波器的設計與仿真

matlab濾波器設計-IIR濾波器的設計與仿真 1 引言    在現代通信系統(tǒng)中,由于信號中經常混有各種復雜成分,所以很多信號的處理和分析
2008-01-16 09:51:285075

高效FIR濾波器的設計與仿真-基于FPGA

高效FIR濾波器的設計與仿真-基于FPGA 摘要:該文在介紹有限沖激響應(FIR)數字濾波器理論及常見實現方法的基礎上,提出了一種基于FPGA的高效實現方案。
2008-01-16 09:56:021456

如何用用FPGA實現FIR濾波器

如何用用FPGA實現FIR濾波器 你接到要求用FPGA實現FIR濾波器的任務時,也許會想起在學校里所學的FIR基礎知識,但是下一步該做什么呢?哪些參數是重
2009-03-30 12:25:454503

CPLD基于FPGA實現FIR濾波器的研究

摘要: 針對在FPGA中實現FIR濾波器的關鍵--乘法運算的高效實現進行了研究,給了了將乘法化為查表的DA算法,并采用這一算法設計了FIR濾波器。通過FPGA仿零點驗證
2009-06-20 14:09:36677

FIR帶通濾波器FPGA實現

FIR帶通濾波器FPGA實現 引 言??? 在FPGA應用中,比較廣泛而基礎的就是數字濾波器。根據其單位沖激響應函數的時域特性可分為無限沖擊響應(Infinite
2009-11-13 09:55:186564

LMS自適應濾波器仿真與實現

LMS自適應濾波器仿真與實現  0 引言   自適應濾波器可廣泛應用于系統(tǒng)識別、信號處理和數字通信等許多領域。而超大規(guī)模集成電路和FPGA的飛速發(fā)展,也促
2009-12-16 10:41:567406

基于FPGA的數字濾波器的設計與實現

基于FPGA的數字濾波器的設計與實現    在信息信號處理過程中,如對信號的過濾、檢測、預測等,都要使用到濾波器,數字濾波器是數字信號處理中使用最廣泛的一
2010-01-07 10:45:353475

FPGA的Kalman濾波器的設計

FPGA的Kalman濾波器的設計 摘要:針對電路設計中經常碰到數據的噪聲干擾現象,提出了一種Kalman濾波FPGA實現方法。該方法采用了TI公司的高精度模數轉換
2010-04-13 13:32:462566

CIC抽取濾波器的改進及其FPGA的實現

為補償傳統(tǒng)CIC濾波器的通帶衰減,提出一種改進型的CIC抽取濾波器,即在SCIC濾波器之后級聯(lián)一個二階多項式內插濾波器?;谟布崿F的要求,給出改進型CIC濾波器FPGA高效實現原理圖。仿真結果表明改進的CIC濾波器具有更好的通阻帶特性。
2011-03-15 14:06:3552

基于MATLAB和FPGA的CIC濾波器的設計

基于多速率信號處理原理,設計了用于下變頻的CIC抽取濾波器,由于CIC濾波器結構只用到加法器和延遲器,沒有乘法器,很適合用FPGA來實現,所以本文分析了CIC濾波器的原理,性能及影
2011-08-26 15:12:11160

基于FPGADDC設計及仿真

在軟件無線電數字接收機中,從AD前端采集過來的數字信號頻率高達72 MHz,如此高的頻率使得后端DSP不能直接完成相關的數字信號處理任務。因此合理的設計基于FPGADDC,以降低數字信
2012-06-18 13:34:4649

基帶成形濾波器的數字設計與實現

根據基帶成型濾波器的工作原理,文中設計出了一種基帶成型濾波器的數字實現方案。該方案首先運用MATALB仿真工具得到信號基帶成型后的仿真數據,并將仿真數據存儲在FPGA中,然后通
2012-07-30 10:27:2252

基于FPGA設計的FIR濾波器的實現與對比

描述了基于FPGA的FIR濾波器設計。根據FIR的原理及嚴格線性相位濾波器具有偶對稱的性質給出了FIR濾波器的4種結構,即直接乘加結構、乘法器復用結構、乘累加結構、DA算法。在本文中給
2012-11-09 17:32:37121

基于FPGADDC中CIC濾波器的設計

文中基于多速率數字信號處理原理,設計了用于數字下變頻技術的CIC抽取濾波器。通過分析CIC濾波器的原理及性能參數,利用MATLAB設計了符合系統(tǒng)要求的CIC濾波器,并通過FPGA實現了CI
2013-04-15 19:29:2871

基于matlab和fpga的FIR濾波器設計

基于matlab和fpga的FIR濾波器設計,有興趣的同學可以下載學習
2016-04-27 15:51:5856

基于FPGA的FIR濾波器設計與實現

基于FPGA的FIR濾波器設計與實現,下來看看
2016-05-10 11:49:0238

濾波器基于Simulink的數字濾波器仿真

濾波器基于Simulink的數字濾波器仿真
2016-05-17 09:49:5120

Filter_Solutions仿真濾波器

自動設計仿真濾波器軟件
2017-03-01 12:00:0521

基于FPGA的高階大位寬超聲相控陣濾波器設計_馬駿

基于FPGA的高階大位寬超聲相控陣濾波器設計_馬駿
2017-03-19 11:38:262

數字下變頻中抽取濾波器的設計及FPGA實現

ISE 12.3在Xilinx xc5vsx95t-2ff1136 FPGA上實現了一種下采樣率為64的抽取濾波器。Modelsim仿真結果表明,該抽取濾波器設計是有效的,達到了設計指標。
2017-11-17 09:01:555303

基于單圖像向導濾波器的整數FPGA設計結構

對一種單圖像向導濾波器的高性能FPGA設計結構進行了分析,發(fā)現其中的均值濾波器存在設計缺陷,據此提出了一種向導濾波器的整數FPGA設計結構。通過改變均值濾波器的數據累加順序,減少了存儲資源
2017-11-22 15:43:1212

FPGA的FIR抽取濾波器設計教程

FPGA實現抽取濾波器比較復雜,主要是因為在FPGA中缺乏實現乘法運算的有效結構,現在,FPGA中集成了硬件乘法器,使FPGA在數字信號處理方面有了長足的進步。本文介紹了一種采用Xilinx公司的XC2V1000實現FIR抽取濾波器的設計方法。
2018-04-28 11:50:001073

基于FPGA的可調FIR濾波器在實際通信系統(tǒng)中的實現方法設計

基于靈活自適應的空口波形技術FOFDM(Filtered OFDM)是現代通信技術的研究熱點,設計并實現可調FIR濾波器是實現該技術的核心工作之一。本文設計的基于FPGA的可調節(jié)FIR濾波器系數
2018-07-23 17:21:002372

使用FPGA實現自適應卡爾曼濾波器的設計論文說明

在視頻圖像獲取過程中“由于噪聲對圖像序列的降質”需要設計實時噪聲濾波器。討論了視頻圖像的卡爾曼濾波問題及自適應卡爾曼濾波算法“并討論了自適應卡爾曼濾波算法的簡化”以利于硬件實現自適應卡爾曼濾波器“并進行了簡化算法仿真”完成基于FPGA實現的實時自適應卡爾曼濾波器的設計。
2021-01-22 14:29:2922

使用FPGA實現自適應卡爾曼濾波器的設計論文說明

在視頻圖像獲取過程中“由于噪聲對圖像序列的降質”需要設計實時噪聲濾波器。討論了視頻圖像的卡爾曼濾波問題及自適應卡爾曼濾波算法“并討論了自適應卡爾曼濾波算法的簡化”以利于硬件實現自適應卡爾曼濾波器“并進行了簡化算法仿真”完成基于FPGA實現的實時自適應卡爾曼濾波器的設計。
2021-01-22 14:29:2913

如何使用FPGA實現分布式算法的高階FIR濾波器

提出一種新的高階FIR濾波器FPGA實現方法。該方法運用多相分解結構對高階FIR濾波器進行降階處理,采用改進的分布式算法來實現降階后的FIR濾波器。設計了一系列階數從8到1 024的FIR濾波器
2021-03-23 15:44:5430

DDC_FPGA實現

DDC_FPGA實現(通信電源技術期刊投稿)-該文檔為DDC_FPGA實現總結文檔,是一份很不錯的參考資料,具有較高參考價值,感興趣的可以下載看看………………
2021-08-31 18:29:2614

基于FPGA的數字下變頻器(DDC)的設計

基于FPGA的數字下變頻器(DDC)的設計(ups電源技術轉讓)-基于FPGA的數字下變頻器(DDC)的設計.適合新手學習參考
2021-09-16 11:43:5237

有源電力濾波器APF仿真模型

有源電力濾波器APF仿真模型
2021-11-13 15:53:1724

串行FIR濾波器MATLAB與FPGA實現

本文介紹了設計濾波器FPGA實現步驟,并結合杜勇老師的書籍中的串行FIR濾波器部分進行一步步實現硬件設計,對書中的架構做了簡單的優(yōu)化,并進行了仿真驗證。
2023-05-24 10:56:34552

并行FIR濾波器MATLAB與FPGA實現

本文介紹了設計濾波器FPGA實現步驟,并結合杜勇老師的書籍中的并行FIR濾波器部分進行一步步實現硬件設計,對書中的架構做了復現以及解讀,并進行了仿真驗證。
2023-05-24 10:57:36653

Verilog CIC濾波器設計

積分梳狀濾波器(CIC,Cascaded Integrator Comb),一般用于數字下變頻(DDC)和數字上變頻(DUC)系統(tǒng)。
2023-06-01 11:05:411166

FIR濾波器代碼及仿真設計

上文 FPGA數字信號處理之濾波器2_使用dsp48e1的fir濾波器設計完成了結構設計。
2023-06-02 12:36:22718

耦合微帶濾波器版圖設計仿真

完成濾波器的原理圖后,還需要對濾波器生成版圖進一步的仿真驗證。首先對濾波器生產版圖,之后再對版圖進行仿真驗證。
2023-06-30 10:38:35781

已全部加載完成