電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>電子技術(shù)應(yīng)用>實驗中心>編程實驗>基于vhdl蜂鳴器程序設(shè)計詳解

基于vhdl蜂鳴器程序設(shè)計詳解

12下一頁全文

本文導(dǎo)航

  • 第 1 頁:基于vhdl蜂鳴器程序設(shè)計詳解
  • 第 2 頁:源代碼
收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴

評論

查看更多

相關(guān)推薦

C語言程序設(shè)計(ppt版)

C語言程序設(shè)計(ppt版)
2023-08-07 15:33:562

VHDL程序設(shè)計教程 (pdf 經(jīng)典電子書)

VHDL程序設(shè)計教程是EDA工程系列叢書之二。, VHDL硬件描述語言是數(shù)字電路設(shè)計者、大規(guī)模專用集成電路(ASIC)設(shè)計者與電子設(shè)計自動化(EnA)工具之間的接口語言,是現(xiàn)代電子設(shè)計的基礎(chǔ)語言
2009-10-09 17:47:27

C語言程序設(shè)計.pdf

C語言程序設(shè)計.pdf
2021-12-29 17:36:5715

STC學(xué)習(xí):蜂鳴器

程序設(shè)計目標(biāo)及程序運行效果說明程序設(shè)計目標(biāo):通過按下按鍵1來控制無源蜂鳴器的發(fā)聲。程序運行效果說明:蜂鳴器初始狀態(tài)是沒有發(fā)聲;按下按鍵1,則蜂鳴器開始發(fā)聲。再次按下按鍵1,蜂鳴器停止發(fā)聲。程序相關(guān)
2021-12-23 19:51:1911

C語言程序設(shè)計第四講結(jié)構(gòu)化程序設(shè)計之選擇

C語言程序設(shè)計第四講結(jié)構(gòu)化程序設(shè)計之選擇
2021-12-22 10:34:3123

STM32 IAP升級程序設(shè)計詳解-IAR環(huán)境

本文可與另外一篇文章做對比參考:STM8 IAP升級程序設(shè)計詳解 - IAR環(huán)境一 STM32 IAP 原理分析STM32 IAP的實現(xiàn)原理與STM8類似,只是STM32可以設(shè)置中斷向量表的偏移,而
2021-12-03 10:21:0315

stm32簡單程序編譯及完成51程序設(shè)計與仿真

stm32簡單程序編譯及完成51程序設(shè)計與仿真
2021-11-21 16:36:033

Linux窗口程序設(shè)計

Linux窗口程序設(shè)計說明。
2021-03-18 10:10:1512

使用VHDL硬件描述語言實現(xiàn)基帶碼發(fā)生器的程序設(shè)計與仿真

本文檔的主要內(nèi)容詳細(xì)介紹的是使用VHDL硬件描述語言實現(xiàn)基帶碼發(fā)生器的程序設(shè)計與仿真免費下載。
2021-01-20 13:44:1611

基于VHDL文本的時序邏輯電路設(shè)計

VHDL文本設(shè)計觸發(fā)器,觸發(fā)器的類型可任選一種。給出程序設(shè)計、仿真分析、硬件測試及詳細(xì)實驗過程。
2020-08-21 17:53:341298

匯編程序設(shè)計的教程課件免費下載

本文檔的主要內(nèi)容詳細(xì)介紹的是匯編程序設(shè)計的教程課件免費下載包括了:匯編語言與匯編器,匯編語言程序規(guī)范,偽指令及應(yīng)用,宏指令及應(yīng)用,匯編語言程序設(shè)計,匯編語言的程序結(jié)構(gòu),順序結(jié)構(gòu)程序設(shè)計,分支結(jié)構(gòu)程序設(shè)計,循環(huán)結(jié)構(gòu)程序設(shè)計,子程序設(shè)計,STM32編程
2020-03-16 17:08:0017

JAVA程序設(shè)計教程之JSP程序設(shè)計實驗

本文檔的主要內(nèi)容詳細(xì)介紹的是JAVA程序設(shè)計教程之JSP程序設(shè)計實驗。
2019-11-05 15:34:136

51單片機的蜂鳴器程序蜂鳴器間斷發(fā)聲的程序免費下載

本文檔的主要內(nèi)容詳細(xì)介紹的是51單片機的蜂鳴器程序蜂鳴器間斷發(fā)聲的程序免費下載。
2019-07-26 17:36:0012

C++程序設(shè)計教程之程序設(shè)計初步資料說明

本文檔詳細(xì)介紹的是C++程序設(shè)計教程之程序設(shè)計初步資料說明主要內(nèi)容包括了:1. 面向過程的程序設(shè)計和算法,2. C++程序和語句,3. 賦值語句,4. C++的輸入與輸出,5. 編寫順序結(jié)構(gòu)的程序
2019-03-14 14:48:287

Visual FoxPro程序設(shè)計教程之結(jié)構(gòu)化程序設(shè)計的詳細(xì)資料說明

本文檔詳細(xì)介紹的是Visual FoxPro程序設(shè)計教程之結(jié)構(gòu)化程序設(shè)計的詳細(xì)資料說明主要內(nèi)容包括了:1 程序文件,2 程序控制結(jié)構(gòu),3 過程與過程調(diào)用,4 程序的調(diào)試。
2019-03-04 16:20:588

VB程序設(shè)計電子教程之圖形程序設(shè)計的詳細(xì)資料說明

本文檔的詳細(xì)介紹的是VB程序設(shè)計電子教程之圖形程序設(shè)計的詳細(xì)資料說明主要內(nèi)容包括了:1.圖形程序設(shè)計基礎(chǔ),2.常用畫圖方法,3.動畫程序設(shè)計初步
2019-03-01 11:01:139

C語言程序設(shè)計教程之選擇結(jié)構(gòu)程序設(shè)計的資料說明

本文檔的主要內(nèi)容詳細(xì)介紹的是C語言程序設(shè)計教程之選擇結(jié)構(gòu)程序設(shè)計的資料說明。
2019-02-21 14:26:004

C語言程序設(shè)計教程之分支結(jié)構(gòu)程序設(shè)計的課件免費下載

本文檔的主要內(nèi)容詳細(xì)介紹的是C語言程序設(shè)計教程之分支結(jié)構(gòu)程序設(shè)計的課件免費下載。
2018-12-10 17:16:466

簡單的C程序設(shè)計教程之順序程序設(shè)計的資料概述

本文檔的主要內(nèi)容詳細(xì)介紹的是簡單的C程序設(shè)計教程之順序程序設(shè)計的資料概述。
2018-11-16 16:17:574

C語言程序設(shè)計實用教程之如何選擇結(jié)構(gòu)程序設(shè)計

本文檔的主要內(nèi)容詳細(xì)介紹的是C語言程序設(shè)計實用教程之如何選擇結(jié)構(gòu)程序設(shè)計:1 關(guān)系運算符和關(guān)系表達(dá)式 2 邏輯運算符邏輯表達(dá)式 3 if語句 4 switch語句 5 程序舉例
2018-10-31 18:04:276

VHDL程序的順序語句如何應(yīng)用詳細(xì)實驗資料說明

本文檔的主要內(nèi)容詳細(xì)介紹的是VHDL程序的順序語句如何應(yīng)用詳細(xì)實驗資料說明。一、 實驗?zāi)康?. 鞏固編譯、仿真VHDL文件的方法2. 掌握VHDL程序順序語句的應(yīng)用
2018-10-17 08:00:006

VHDL程序的并行語句如何應(yīng)用詳細(xì)實驗資料說明

本文檔的主要內(nèi)容詳細(xì)介紹的是VHDL程序的并行語句如何應(yīng)用詳細(xì)實驗資料說明。一、 實驗?zāi)康?. 鞏固編譯、仿真VHDL文件的方法2. 掌握VHDL程序并行語句的應(yīng)用
2018-10-17 08:00:0023

面向?qū)ο蟮?b style="color: red">程序設(shè)計總結(jié)與過程化程序設(shè)計有什么區(qū)別?

面向?qū)ο?b style="color: red">程序設(shè)計(簡稱OOP)技術(shù)最近幾年在計算機領(lǐng)域得到了迅猛發(fā)展,它是程序設(shè)計方法的一場革命。與傳統(tǒng)的結(jié)構(gòu)化程序設(shè)計(面向過程程序設(shè)計)相比,它具有許多優(yōu)點,其中最主要的是,面向?qū)ο?b style="color: red">程序設(shè)計是按照人類認(rèn)識世界的方法和思路方式來解決問題。
2018-09-19 14:12:5919

C程序設(shè)計的詳細(xì)中文資料免費下載

本文檔的主要內(nèi)容詳細(xì)介紹的是C程序設(shè)計的詳細(xì)中文資料免費下載內(nèi)容包括了: 程序設(shè)計和C語言,算法---程序的靈魂,最簡單的C程序設(shè)計,選擇結(jié)構(gòu)程序設(shè)計,循環(huán)結(jié)構(gòu)程序設(shè)計,利用數(shù)組處理批量數(shù)據(jù),用函數(shù)實現(xiàn)模塊化程序設(shè)計,善于利用指針,用戶自己建立數(shù)據(jù)類型,對文件的輸入輸出
2018-08-01 08:00:0074

LabVIEW程序設(shè)計進階.pdf

LabVIEW程序設(shè)計進階
2018-05-02 10:02:4630

vhdl數(shù)碼管動態(tài)掃描程序設(shè)計(四種設(shè)計方案)

本文為大家?guī)硭姆N不同的vhdl數(shù)碼管動態(tài)掃描程序設(shè)計。
2018-01-29 11:54:3115721

ARM_C語言程序設(shè)計詳解

ARM_C語言程序設(shè)計詳解
2017-10-27 15:39:1032

Java并發(fā)程序設(shè)計教程

Java并發(fā)程序設(shè)計教程
2017-03-19 11:23:452

C++程序設(shè)計原理與實踐

C++程序設(shè)計原理與實踐
2017-02-28 23:01:5647

狀態(tài)機VHDL程序

狀態(tài)機VHDL程序,感興趣的小伙伴們可以瞧一瞧。
2016-11-11 15:51:004

加法器VHDL程序

加法器VHDL程序,感興趣的小伙伴們可以瞧一瞧。
2016-11-11 15:51:005

VOTE7 VHDL程序

VOTE7 VHDL程序,感興趣的小伙伴們可以瞧一瞧。
2016-11-11 15:51:000

VGA_VHDL程序

VGA VHDL程序,感興趣的小伙伴們可以瞧一瞧。
2016-11-11 15:51:003

STRING VHDL程序

STRING VHDL程序,感興趣的小伙伴們可以瞧一瞧。
2016-11-11 11:55:470

PULSE WIDTH VHDL程序

PULSE WIDTH VHDL程序,感興趣的小伙伴們可以瞧一瞧。
2016-11-11 11:55:470

PLUSE VHDL程序

PLUSE VHDL程序,感興趣的小伙伴們可以瞧一瞧。
2016-11-11 11:55:471

MUTICOUNT VHDL程序

MUTICOUNT VHDL程序,感興趣的小伙伴們可以瞧一瞧。
2016-11-11 11:20:111

GRAYTOBIN VHDL程序

GRAYTOBIN VHDL程序,感興趣的小伙伴們可以瞧一瞧。
2016-11-11 11:20:111

BCDADDER VHDL程序

BCDADDER VHDL程序,感興趣的小伙伴們可以瞧一瞧。
2016-11-11 11:20:110

ALPHER VHDL程序

ALPHER VHDL程序,感興趣的小伙伴們可以瞧一瞧。
2016-11-11 11:20:110

ADDER4 VHDL程序

ADDER4 VHDL程序,感興趣的小伙伴們可以瞧一瞧。
2016-11-11 11:20:110

VHDL例程串口_源程序

VHDL例程 串口源程序,感興趣的小伙伴們可以瞧一瞧。
2016-11-11 11:20:111

VHDL例程iic程序

VHDL例程 iic源程序,感興趣的小伙伴們可以瞧一瞧。
2016-11-10 17:12:348

VHDL例程VGA程序

VHDL例程VGA程序,感興趣的小伙伴們可以瞧一瞧。
2016-11-10 17:12:342

VHDL例程FIFO程序

VHDL例程 FIFO程序,感興趣的小伙伴們可以瞧一瞧。
2016-11-10 17:12:344

VHDL例程SPI源程序

VHDL例程 SPI源程序,感興趣的小伙伴們可以瞧一瞧。
2016-11-10 17:12:3415

蜂鳴器唱歌程序

蜂鳴器唱歌程序,學(xué)習(xí)單片機非常經(jīng)典的資料!很適合新手們學(xué)習(xí)?。?!
2016-07-01 15:21:134

C語言程序設(shè)計

C語言程序設(shè)計。
2016-05-16 11:05:314

Verilog HDL程序設(shè)計教程

Verilog HDL程序設(shè)計教程-人郵
2016-05-11 11:30:1932

msp430延時程序設(shè)計

msp430延時程序設(shè)計msp430延時程序設(shè)計msp430延時程序設(shè)計
2016-04-29 14:40:261

第2章 程序設(shè)計基礎(chǔ)

全國C語言考試公共基礎(chǔ)知識點——程序設(shè)計基礎(chǔ),該資料包含了有關(guān)程序設(shè)計基礎(chǔ)的全部知識點。
2016-03-28 15:20:491

VHDL應(yīng)用工程

本書以 VHDL 程序設(shè)計基礎(chǔ)與工程實踐為內(nèi)容,全面介紹了 VHDL 程序設(shè)計的基礎(chǔ)知 識和基本技術(shù),并結(jié)合工程實例講解電路設(shè)計的基本流程和 VHDL技術(shù)的應(yīng)用。本書基本涵
2016-02-17 15:52:133

MATLAB程序設(shè)計教程

MATLAB程序設(shè)計教程》電子教案,又需要的下來看看。
2016-01-15 16:03:1610

蜂鳴器的分類_單片機驅(qū)動蜂鳴器_蜂鳴器的應(yīng)用

資料包含8篇蜂鳴器方面的專業(yè)文章,涵蓋了蜂鳴器介紹、蜂鳴器分類詳解、單片機驅(qū)動蜂鳴器蜂鳴器的實際應(yīng)用等專業(yè)知識。電子行業(yè)從業(yè)人員傾力奉獻(xiàn),為廣大電子愛好者和電子廠家?guī)砀喔哔|(zhì)量的專業(yè)資料。
2016-01-15 13:42:4234

基于LPC2103的交流蜂鳴器音樂播放電路設(shè)計書

本文由介紹蜂鳴器蜂鳴器驅(qū)動電路開始,從直流蜂鳴器驅(qū)動程序、交流蜂鳴器驅(qū)動程序以及設(shè)計原理、電路制作和程序設(shè)計等方面介紹了基于LPC2103的交流蜂鳴器音樂播放電路設(shè)計。
2016-01-13 09:43:339

LabVIEW程序設(shè)計基礎(chǔ)

LabVIEW程序設(shè)計基礎(chǔ),喜歡的朋友可以下載來學(xué)習(xí)。
2016-01-12 15:32:4130

LabWindowsCVI程序設(shè)計步驟

【LabVIEW從入門到精通】3.3 LabWindowsCVI程序設(shè)計步驟
2016-01-08 15:50:3518

LabVIEW程序設(shè)計步驟

【LabVIEW從入門到精通】2.3 LabVIEW程序設(shè)計步驟
2016-01-08 15:44:1775

數(shù)字鐘VHDL程序

數(shù)字鐘VHDL程序,quartusii軟件,八位七段數(shù)碼管顯示時間,按鍵可控
2015-12-31 14:56:3423

單片機蜂鳴器_各種發(fā)聲程序_C語言版

單片機蜂鳴器 各種發(fā)聲程序【C語言版】 單片機蜂鳴器 各種發(fā)聲程序【C語言版】 單片機蜂鳴器 各種發(fā)聲程序【C語言版】
2015-12-29 15:52:5611

單片機蜂鳴器_各種發(fā)聲程序

單片機蜂鳴器 各種發(fā)聲程序【匯編版】 單片機蜂鳴器 各種發(fā)聲程序【匯編版】 單片機蜂鳴器 各種發(fā)聲程序【匯編版】
2015-12-29 15:50:2213

單片機蜂鳴器簡單發(fā)聲程序【C語言版】

單片機蜂鳴器簡單發(fā)聲程序【C語言版】單片機蜂鳴器簡單發(fā)聲程序【C語言版】單片機蜂鳴器簡單發(fā)聲程序【C語言版】
2015-12-29 13:53:133

單片機驅(qū)動蜂鳴器原理與程序設(shè)計

通過3個單片機驅(qū)動蜂鳴器的編程和電路設(shè)計,詳細(xì)給各位介紹了單片機驅(qū)動蜂鳴器電路的程序設(shè)計,旨在給各位設(shè)計人員一些啟發(fā)和幫助,降低開發(fā)難度。
2015-12-23 14:36:248

C程序設(shè)計(王麗娟)

C程序設(shè)計PPT教程 詳細(xì)介紹C程序設(shè)計,有需要的朋友下來看看
2015-12-23 11:01:180

GPS應(yīng)用程序設(shè)計

GPS基本知識介紹以及應(yīng)用程序設(shè)計 非常實用的資料
2015-12-21 15:00:310

C#程序設(shè)計與案例教程

C#程序設(shè)計與案例教程,楊樹林版,包含源碼案例
2015-12-18 11:11:2927

最簡單的C程序設(shè)計_ 順序程序設(shè)計

最簡單的c語言程序設(shè)計,提供ppt教程,簡單易懂
2015-12-02 09:37:433

華為內(nèi)部程序設(shè)計培訓(xùn)

華為內(nèi)部程序設(shè)計培訓(xùn)。
2015-10-29 14:52:4915

VHDL語言程序設(shè)計及應(yīng)用(第二版)_姜立東

電子發(fā)燒友網(wǎng)站提供《VHDL語言程序設(shè)計及應(yīng)用(第二版)_姜立東.txt》資料免費下載
2015-07-15 15:20:2518

ARM體系結(jié)構(gòu)與程序設(shè)計

《ARM體系結(jié)構(gòu)與程序設(shè)計》是ARM體系結(jié)構(gòu)與程序設(shè)計的一本實用指導(dǎo)書籍,通過案例詳細(xì)介紹了ARM體系結(jié)構(gòu)與程序設(shè)計,案例中的程序都取自實際的項目,且對程序有詳細(xì)注解。
2011-10-27 16:37:272200

Verilog HDL程序設(shè)計教程_王金明

《Verilog HDL 程序設(shè)計教程》對Verilog HDL程序設(shè)計作了系統(tǒng)全面的介紹,以可綜合的設(shè)計為重點,同時對仿真和模擬也作了深入的闡述?!禫erilog HDL 程序設(shè)計教程》以Verilog-1995標(biāo)準(zhǔn)為基礎(chǔ)
2011-09-22 15:53:36718

VHDL實現(xiàn)PCM碼解調(diào)程序模塊設(shè)計

依據(jù)VHDL程序設(shè)計出針對現(xiàn)場可編程門陣列(FPGA)的脈沖編碼調(diào)制(PCM)碼解調(diào)電路。解調(diào)數(shù)據(jù)過程分為位同步、字節(jié)同步、幀同步和串并轉(zhuǎn)換,并對相關(guān)程序模塊進行仿真。通過調(diào)
2010-12-21 10:12:3675

VHDL程序100例

VHDL程序100例
2010-11-01 17:05:30319

VHDL設(shè)計中信號與變量問題的研究

VHDL設(shè)計中信號與變量問題的研究   在VHDL程序設(shè)計中,可以充分利用信號或變量的系統(tǒng)默認(rèn)值,來靈活實現(xiàn)設(shè)計目標(biāo)。本文從應(yīng)用的角度舉例說明了VHDL設(shè)計中信號與變量
2010-04-12 14:52:211144

DSP程序設(shè)計

DSP程序設(shè)計:(1)DSP C語言程序設(shè)計(2)C語言與匯編語言混合編程(3)DSP程序燒寫13.1 DSP C語言程序設(shè)計DSP支持使用ANCI C進行程序設(shè)計,并提供了相應(yīng)的編譯器和C優(yōu)化編
2009-10-27 14:11:3165

VHDL 語言程序的元素

VHDL 語言程序的元素:本章主要內(nèi)容:􀁺VHDL語言的對象􀁺VHDL語言的數(shù)據(jù)類型􀁺VHDL語言的運算符􀁺VHDL語言的標(biāo)識符􀁺VHDL語言的詞法單元
2009-09-28 14:32:2141

鍵盤應(yīng)用程序設(shè)計

鍵盤應(yīng)用程序設(shè)計 鍵盤使用的編碼
2009-06-12 23:11:21856

JAVA程序設(shè)計之教程

JAVA程序設(shè)計之教程
2009-04-10 14:46:0624

基于VxWorks的Zinc程序設(shè)計

基于VxWorks的Zinc程序設(shè)計
2009-03-29 12:31:4822

java程序設(shè)計技巧1001例

java程序設(shè)計技巧1001例通過1001個技巧介紹了面向?qū)ο?b style="color: red">程序設(shè)計的各個方面并詳細(xì)分析了Java程序設(shè)計及應(yīng)用。本書著重介紹2維和3維圖形程序設(shè)計;如何構(gòu)造集文本、圖形、聲音和
2008-12-08 11:28:54165

C#程序設(shè)計與案例教程

C#程序設(shè)計與案例教程全書共分九章,按C#知識的系統(tǒng)性,由淺入深安排內(nèi)容,全面介紹了C# 語言基礎(chǔ),面向?qū)ο?b style="color: red">程序設(shè)計,GUI程序設(shè)計,圖形、圖像與多媒體,數(shù)據(jù)庫程序設(shè)計,
2008-10-22 11:05:23158

宏匯編語言程序設(shè)計(PDF)

宏匯編語言程序設(shè)計介紹了IBM PC微型計算機,基本匯編語言,順序、分支與循環(huán)程序設(shè)計,子程序設(shè)計,數(shù)值運算程序設(shè)計,非數(shù)值運算程序設(shè)計等內(nèi)容。自學(xué)與提高的捷徑 高
2008-10-21 18:06:26197

Javascript高級程序設(shè)計 (pdf下載)

Javascript高級程序設(shè)計JavaScript是一種不嚴(yán)格基于Java的面向?qū)ο?b style="color: red">程序設(shè)計語言,以嵌入式Java小程序的形式在Web上廣為使用?!禞avaScript高級程序設(shè)計》從最早期Netscape瀏覽器中
2008-10-21 09:06:161649

Matlab使用方法和程序設(shè)計

Matlab使用方法和程序設(shè)計 實驗一 Matlab使用方法和程序設(shè)計一、
2008-10-17 00:18:294860

1602液晶驅(qū)動程序(基于VHDL)

1602液晶驅(qū)動程序(基于VHDL)
2008-10-08 12:31:39189

軟中斷程序設(shè)計

實 驗 五  軟中斷程序設(shè)計 一、實驗?zāi)康?nbsp;   通過對軟中斷程序的編寫和調(diào)試,掌握IBM-PC系列機的軟中斷程序設(shè)計方法
2008-09-28 23:26:492470

ARM程序設(shè)計基礎(chǔ)

ARM編譯器一般都支持匯編語言的程序設(shè)計和C/C++語言的程序設(shè)計,以及兩者的混合編程。本章介紹ARM程序設(shè)計的一些基本概念,如ARM匯編語言的偽指令、匯編語言的語句格式和匯
2008-09-09 14:55:55100

c++程序設(shè)計語言題解

c++程序設(shè)計語言題解是與Bjarne Stroustrup的《C++程序設(shè)計語言》一書配套使用的習(xí)題解答,為從《C++程序設(shè)計
2008-09-05 16:00:48121

出租車計價器VHDL程序

程序設(shè)計與仿真。1. 出租車計價器VHDL程序--文件名:taxi.hd --功能:出租車計價器 --最后修改日期:2004.4.9
2008-06-27 10:51:311737

《C/ C++/ Java 程序設(shè)計經(jīng)典教程》

《C/ C++/ Java 程序設(shè)計經(jīng)典教程》(Deitel 著) ●集作者幾十年程序設(shè)計經(jīng)驗之精華,從軟件工程的原理強調(diào)結(jié)構(gòu)化程序設(shè)計方法,追求程序的清晰優(yōu)美,注重程序的性能和結(jié)
2006-04-08 02:10:20396

c++程序設(shè)計教程

C++是一種高效應(yīng)用的程序設(shè)計語言,它即可進行過程化程序設(shè)計,也可進行面向?qū)ο?b style="color: red">程序設(shè)計,因而成了編程人員最廣泛使用的工具。學(xué)好C++,很容易觸類旁通其他軟件,C++架起
2006-04-08 00:36:04124

已全部加載完成