電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線(xiàn)課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>可編程邏輯>設(shè)計(jì)一款可編程HAD輔助軟件方案

設(shè)計(jì)一款可編程HAD輔助軟件方案

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴

評(píng)論

查看更多

相關(guān)推薦

基于管理和組合HDL電路單元IP庫(kù)的HAD輔助設(shè)計(jì)軟件研究

輔助設(shè)計(jì)(HAD軟件主要是對(duì)HDL語(yǔ)言的電路起一個(gè)輔助設(shè)計(jì)的作用。HAD軟件的功能有兩個(gè):管理電路單元IP庫(kù)以及生成所需外圍電路的 HDL程序。
2020-07-23 16:42:37712

一款可編程可DIY開(kāi)發(fā)的手表T-watch,你覺(jué)得怎么樣呢?

`一款可編程可DIY開(kāi)發(fā)的手表T-watch自帶開(kāi)發(fā)平臺(tái),兼容 Arduino、Scratch、Atmel Studio 等編程平臺(tái),可自行在手表上開(kāi)發(fā)各種應(yīng)用,功能你來(lái)定!`
2019-08-14 11:43:24

一款可編程智能對(duì)戰(zhàn)坦克里面的地圖可以定位,請(qǐng)問(wèn)是什么原因?

本帖最后由 只耳朵怪 于 2018-5-25 08:55 編輯 給小朋友選禮物的時(shí)候看到一款可編程對(duì)戰(zhàn)坦克玩具,支持Arduino Mega 2560,看到里面有個(gè)地圖可以定位,請(qǐng)教
2018-05-24 20:39:34

一款可用的編程音樂(lè)軟件

一款可用的編程音樂(lè)軟件自動(dòng)動(dòng)手做下試試吧!很有意思?。?! 
2009-12-18 14:55:46

一款易于配置的電量監(jiān)測(cè)解決方案

描述德州儀器 (TI) 的 bq27411-G1 參考設(shè)計(jì)是一款易于配置的電量監(jiān)測(cè)解決方案,適用于單節(jié)串聯(lián)鋰離子電池組。該器件要求最低配置,并且使用次性可編程 (OTP) 非易失性存儲(chǔ)器 (NVM
2022-09-22 07:15:42

一款移動(dòng)電源方案分享

本帖最后由 eehome 于 2013-1-5 09:47 編輯 分享一款移動(dòng)電源方案,采用CSU3112開(kāi)發(fā),雙USB接口。CSU3112是芯海科技推出的8位高工規(guī)FLASH單片機(jī)。8位
2012-07-17 14:59:08

可編程AES加解密IP

基于FPGA的可編程AES加解密IP
2021-01-21 07:39:11

可編程USB轉(zhuǎn)串口適配器的功能特點(diǎn)有哪些呢

什么是可編程USB轉(zhuǎn)串口適配器?可編程USB轉(zhuǎn)串口適配器的功能特點(diǎn)有哪些呢?可編程USB轉(zhuǎn)串口適配器有哪些應(yīng)用領(lǐng)域呢?
2021-11-10 07:06:25

可編程只讀存儲(chǔ)器24LC16B電子資料

概述:24LC16B是一款電可擦寫(xiě)可編程只讀存儲(chǔ)器芯片。
2021-04-08 07:04:40

可編程器件的編程原理是什么?

可編程器件的編程原理是什么?指令集對(duì)CPU的意義是什么?
2021-11-30 07:39:47

可編程技術(shù)的引爆點(diǎn)

25年前,賽靈思(Xilinx)公司發(fā)明了FPGA(現(xiàn)場(chǎng)可編程門(mén)陣列)。如今,以FPGA為代表的可編程芯片應(yīng)用已經(jīng)成為勢(shì)不可擋的發(fā)展趨勢(shì),尤其在ASSP和傳統(tǒng)ASIC之間出現(xiàn)的市場(chǎng)缺口上,F(xiàn)PGA將開(kāi)辟出新的領(lǐng)域,而目前席卷全球的金融危機(jī)更是成為FPGA迅速發(fā)展的催化劑。
2019-07-25 08:05:19

可編程控制器的使用實(shí)驗(yàn)

輔助觸點(diǎn), 完成聯(lián)鎖作用。2. 根據(jù)實(shí)驗(yàn)的體會(huì), 試總結(jié)以可編程控制器實(shí)現(xiàn)應(yīng)用控制般須經(jīng)過(guò)哪幾個(gè)步驟?答: 以可編程控制器實(shí)現(xiàn)應(yīng)用控制般須經(jīng)過(guò):(1) 根據(jù)所定義的輸入、輸出口以及應(yīng)用控制的要求編寫(xiě)梯形圖。(2) 裝接PLC控制線(xiàn)路。(3) 輸入程序并調(diào)試。
2008-09-25 17:27:25

可編程放大器

請(qǐng)各位高人指點(diǎn):如何設(shè)計(jì)個(gè)可編程放大器,最好附有電路圖,即硬件部分,謝謝啦
2012-10-17 19:38:14

可編程晶振怎么調(diào)整頻率?

可編程晶振怎么調(diào)整頻率?晶振如下:
2016-11-20 14:48:54

可編程模擬的各種架構(gòu)

作者:Ron Wilson要點(diǎn)經(jīng)濟(jì)形勢(shì)刺激了對(duì)可編程模擬元件的興趣。不存在將可編程模擬芯片用于個(gè)系統(tǒng)的設(shè)計(jì)流程。設(shè)計(jì)流取決于供應(yīng)商為理解芯片而提供的隱喻(metaphor)。今后,這些設(shè)計(jì)流也許
2019-07-05 07:51:19

可編程電源輸出過(guò)沖怎么辦?解決方案在這里

的問(wèn)題,從而解決輸出過(guò)沖。于是我開(kāi)始尋求外在的幫助,通過(guò)在網(wǎng)上搜索“可編程電源二次開(kāi)發(fā)”我找到家叫納米軟件的公司,他們剛好有一款程控軟件:NS-Power 可編程電源程控軟件,可以對(duì)可編程電源進(jìn)行二次開(kāi)發(fā)
2021-09-10 11:38:46

可編程邏輯器件是如何發(fā)展的?

可編程邏輯器件是如何發(fā)展的?
2021-04-29 06:23:22

可編程邏輯控制器的特點(diǎn)及應(yīng)用

可編程邏輯控制器具有哪些特點(diǎn)?可編程邏輯控制器有哪些應(yīng)用領(lǐng)域?
2021-10-11 07:23:38

AMETEK Sorensen可編程電源的保護(hù)特性是什么

AMETEK Sorensen可編程電源的保護(hù)特性是什么
2021-04-30 07:13:32

CoDeSys編程軟件的功能

CoDeSys是全球最著名的PLC內(nèi)核軟件研發(fā)廠家德國(guó)的3S(SMART,SOFTWARE,SOLUTIONS)公司出的一款與制造商無(wú)關(guān)的IEC 61131-1編程軟件。CoDeSys 支持完整版
2021-09-09 08:41:21

DC1619A是一款100kHz至500kHz可編程頻率,電流模式DC / DC降壓轉(zhuǎn)換器

演示電路DC1619A是一款100kHz至500kHz可編程頻率,高電壓,電流模式DC / DC降壓轉(zhuǎn)換器,采用LT3845A。工作頻率可以同步到600kHz。該演示板設(shè)計(jì)用于從16V至60V輸入的12V至10A(120W)輸出
2019-07-11 14:10:32

Exar兩多路輸出同步降壓型的可編程電源模塊

模塊與可編程雙控制器結(jié)合起來(lái),對(duì)于要求更多電壓軌和更高電流的FPGAs 和SOCs的系統(tǒng)中都能實(shí)現(xiàn)高達(dá)30Amps輸出?! ≈档?b class="flag-6" style="color: red">一提的是,Exar的XRP9710和XRP9711兩可編程電源模塊無(wú)需犧牲產(chǎn)品性能便可以實(shí)現(xiàn)遙測(cè),重新配置和快速上市的小封裝全系統(tǒng)電源解決方案。
2018-09-28 16:16:42

FPGA可編程器件和CPLD可編程器件有哪些相同點(diǎn)和不同點(diǎn)

CPLD是什么?FPGA包含哪幾類(lèi)可編程資源呢?FPGA可編程器件和CPLD可編程器件有哪些相同點(diǎn)和不同點(diǎn)?
2021-11-10 07:42:51

LM57可編程模擬溫度傳感器

TI的LM57是一款高精度、雙路輸出、集成的、模擬溫度傳感器溫度開(kāi)關(guān)。通過(guò)使用兩個(gè)外部1%電阻,其跳閘溫度(TTRIP)是可編程的。
2020-04-26 09:27:45

MAX1732可編程存儲(chǔ)器相關(guān)資料推薦

概述:MAX1732是一款可編程存儲(chǔ)器, 它為雙列14腳封裝。
2021-05-19 06:53:44

TL494CPWR是可編程軟件

請(qǐng)問(wèn)TL494CPWR是可編程的芯片嗎?還是不能編程的?如果是可編程的,那應(yīng)該買(mǎi)什么燒錄器才能讀寫(xiě)?
2020-11-19 22:46:14

亮度最高的DLP可編程投影儀是哪一款

你好,我想選型一款DLP可編程投影儀,需要亮度較高。售價(jià)1300$以下的風(fēng)冷和無(wú)需風(fēng)冷的亮度最高的投影儀分別是哪一款? 是DLP LightCrafter Display 3010 EVM-G2
2018-06-23 07:04:07

什么是可編程片上系統(tǒng)?有什么優(yōu)缺點(diǎn)?

什么是可編程片上系統(tǒng)?有什么優(yōu)缺點(diǎn)?
2021-06-21 07:50:51

什么是可編程邏輯

。 過(guò)去幾年時(shí)間晨,可編程邏輯供應(yīng)商取得了巨大的技術(shù)進(jìn)步,以致現(xiàn)在PLD被眾多設(shè)計(jì)人員視為是邏輯解決方案的當(dāng)然之選。能夠?qū)崿F(xiàn)這點(diǎn)的重要原因之是象Xilinx這樣的PLD供應(yīng)商是"無(wú)晶圓制造廠
2009-05-29 11:36:21

什么是可編程邏輯控制器?可編程邏輯控制器有哪些特點(diǎn)?

什么是可編程邏輯控制器?可編程邏輯控制器主要有哪些特點(diǎn)?可編程邏輯控制器主要有哪些應(yīng)用領(lǐng)域?
2021-07-05 06:00:06

介紹一款可編程語(yǔ)音處理器的設(shè)計(jì)與應(yīng)用

介紹一款可編程語(yǔ)音處理器的設(shè)計(jì)與應(yīng)用
2021-06-03 07:16:50

具有可調(diào)復(fù)位的可編程電源監(jiān)控器LTC2901

具有可調(diào)復(fù)位和看門(mén)狗定時(shí)器的LTC2901-4可編程四路電源監(jiān)視器的典型應(yīng)用。 LTC 2901是一款可編程電源監(jiān)控器,適用于最多四個(gè)電源電壓的系統(tǒng)。可以使用連接到程序引腳的外部電阻分壓器選擇16種預(yù)設(shè)或可調(diào)電壓監(jiān)視器組合中的
2020-03-05 09:34:08

分享一款不粗的基于VxWorks的MB系列智能可編程控制器設(shè)計(jì)

基于VxWorks的MB系列智能可編程控制器設(shè)計(jì)MB系列智能可編程控制器特點(diǎn)是什么MB系列智能可編程控制器的軟件設(shè)計(jì)的關(guān)鍵技術(shù)
2021-04-27 06:26:16

分享一款不錯(cuò)的基于可編程邏輯器件PLD的數(shù)字電路設(shè)計(jì)方案

分享一款不錯(cuò)的基于可編程邏輯器件PLD的數(shù)字電路設(shè)計(jì)方案
2021-04-30 06:34:54

分享一款不錯(cuò)的基于NCV1362應(yīng)用于電機(jī)逆變器的高壓輔助電源方案

分享一款不錯(cuò)的基于NCV1362應(yīng)用于電機(jī)逆變器的高壓輔助電源方案
2021-06-10 08:47:23

分享一款不錯(cuò)的基于新型規(guī)則的可編程交通控制系統(tǒng)設(shè)計(jì)

分享一款不錯(cuò)的基于新型規(guī)則的可編程交通控制系統(tǒng)設(shè)計(jì)
2021-04-28 06:28:09

各位大神 求led可編程風(fēng)火輪電路圖(不可編程葉可以) 急...

各位大神 求led可編程風(fēng)火輪電路圖(不可編程葉可以) 急... 可以求發(fā)網(wǎng)盤(pán)地址或865641213@qq.com
2014-10-08 16:25:13

基于可編程模擬器件的小信號(hào)測(cè)量系統(tǒng)應(yīng)用

1 引 言   在系統(tǒng)可編程模擬電路(In System ProgrammabilityProgrammable Analog Circuits,ispPAC)是可編程模擬器件的種,其內(nèi)部有可編程
2019-07-02 07:06:27

基于ISP芯片的可編程數(shù)字移相器設(shè)計(jì)

、CMOS系列)按傳統(tǒng)數(shù)字系統(tǒng)設(shè)計(jì)方法設(shè)計(jì)而成,其主要缺點(diǎn)是邏輯規(guī)模小、功耗大、可靠性低。本文介紹種基于在系統(tǒng)可編程邏輯器件實(shí)現(xiàn)的新型可編程數(shù)字移相器的設(shè)計(jì)方案,該移相器移相范圍為0~360°,分辨率為1°,它可以方便地和微處理機(jī)及其它設(shè)備聯(lián)接,以構(gòu)成自動(dòng)化同步檢測(cè)器數(shù)據(jù)處理系統(tǒng)。
2019-07-09 06:55:53

如何利用FPGA設(shè)計(jì)可編程電壓源系統(tǒng)?

可編程電源指某些功能或參數(shù)可以通過(guò)計(jì)算機(jī)軟件編程進(jìn)行控制的電源。可編程電源的實(shí)現(xiàn)方法有很多種。其中,現(xiàn)場(chǎng)可編程門(mén)陣列(Field ProgrammableGate Array,F(xiàn)PGA)具有
2019-08-07 08:03:09

如何設(shè)計(jì)個(gè)通過(guò)鍵盤(pán)設(shè)置增益,且具有AGC功能的寬帶放大器?

一款基于VCA822的可編程增益放大器設(shè)計(jì)方案
2021-04-09 06:54:23

如何設(shè)計(jì)種基于CPLD的可編程高精度CCD信號(hào)發(fā)生器?

設(shè)計(jì)種基于CPLD的可編程高精度CCD信號(hào)發(fā)生器,設(shè)計(jì)中充分利用CPLD的可編程性,模擬出滿(mǎn)足系統(tǒng)要求的CD信號(hào),輸出信號(hào)頻率達(dá)到1IMHZ。
2021-04-13 06:44:36

如何設(shè)計(jì)基于FPGA的可編程電壓源系統(tǒng)?

可編程電源指某些功能或參數(shù)可以通過(guò)計(jì)算機(jī)軟件編程進(jìn)行控制的電源。可編程電源的實(shí)現(xiàn)方法有很多種。其中,現(xiàn)場(chǎng)可編程門(mén)陣列(Field ProgrammableGate Array,F(xiàn)PGA)具有性能好,規(guī)模大,可重復(fù)編程,開(kāi)發(fā)投資小等優(yōu)點(diǎn)。
2019-11-04 06:26:07

如何通過(guò)ARM對(duì)可編程器件進(jìn)行配置?

通過(guò)ARM對(duì)可編程器件進(jìn)行配置的的設(shè)計(jì)和實(shí)現(xiàn)
2021-04-13 06:20:21

開(kāi)源可編程手環(huán)

` 本帖最后由 kevinrliu 于 2015-10-17 18:40 編輯 開(kāi)源可編程手環(huán)開(kāi)源可編程手環(huán)-教程下載地址:pan.baidu.com/s/1eQlUGiI告別開(kāi)發(fā)板時(shí)代讓你更加
2015-10-17 18:40:51

怎么使用kc705上的用戶(hù)可編程時(shí)鐘?

我想在我的設(shè)計(jì)中使用kc705上的用戶(hù)可編程時(shí)鐘。我已閱讀有關(guān)Si570編程的用戶(hù)指南,但我想知道在調(diào)整時(shí)鐘后是否會(huì)對(duì)電路板進(jìn)行編程會(huì)重置用戶(hù)可編程時(shí)鐘嗎?另外,我不確定如何從寄存器中提取的值。先謝謝你。
2020-03-27 10:36:03

怎么利用FPGA設(shè)計(jì)可編程電壓源系統(tǒng)?

可編程電源指某些功能或參數(shù)可以通過(guò)計(jì)算機(jī)軟件編程進(jìn)行控制的電源。可編程電源的實(shí)現(xiàn)方法有很多種。其中,現(xiàn)場(chǎng)可編程門(mén)陣列(Field ProgrammableGate Array,F(xiàn)PGA)具有
2019-08-19 06:54:51

怎樣將可編程模擬IC帶入混合信號(hào)世界?

怎樣去設(shè)計(jì)一款可編程和模擬于身的模擬/混合信號(hào)電路方案?
2021-04-12 06:13:35

種可利用復(fù)雜可編程邏輯器件設(shè)計(jì)技術(shù)實(shí)現(xiàn)的專(zhuān)用鍵盤(pán)接口芯片方案

本文提出種利用復(fù)雜可編程邏輯器件(Complex Programmable Logic Device,CPLD)設(shè)計(jì)技術(shù)[3]實(shí)現(xiàn)專(zhuān)用鍵盤(pán)接口芯片的方案
2021-04-15 06:55:36

種新型系統(tǒng)級(jí)可編程芯片的設(shè)計(jì)方案

種新型系統(tǒng)級(jí)可編程芯片的設(shè)計(jì)方案
2021-05-06 07:44:32

一款可編程嵌入式系統(tǒng)的設(shè)計(jì)方案

為什么要設(shè)計(jì)可編程嵌入式系統(tǒng)?基于Java的可編程嵌入式系統(tǒng)是如何實(shí)現(xiàn)的?
2021-04-27 07:20:32

求大佬分享一款可編程補(bǔ)償功能的高效率、高密度PSM μModule穩(wěn)壓器

求大佬分享一款可編程補(bǔ)償功能的高效率、高密度PSM μModule穩(wěn)壓器
2021-06-17 08:14:00

現(xiàn)場(chǎng)可編程門(mén)陣列

新人請(qǐng)問(wèn):FPGA即現(xiàn)場(chǎng)可編程門(mén)陣列,其中的“現(xiàn)場(chǎng)”是什么意思?指的是什么?
2015-03-13 09:10:04

現(xiàn)場(chǎng)可編程門(mén)陣列有哪些應(yīng)用?

現(xiàn)場(chǎng)可編程門(mén)陣列(FPGA)是可編程邏輯器件,由成千上萬(wàn)個(gè)完全相同的可編程邏輯單元組成,周?chē)禽斎?輸出單元構(gòu)成的外設(shè)。制造完成后,F(xiàn)PGA可以在工作現(xiàn)場(chǎng)編程,以便實(shí)現(xiàn)特定的設(shè)計(jì)功能。典型設(shè)計(jì)工
2019-08-06 08:27:36

請(qǐng)問(wèn)如何去設(shè)計(jì)可編程器件輔助軟件?

HAD輔助設(shè)計(jì)軟件有哪些功能?電路模塊HDL程序是怎樣生成的?管理電路單元庫(kù)程序的設(shè)計(jì)思路是怎樣的?請(qǐng)問(wèn)如何去設(shè)計(jì)可編程器件輔助軟件?
2021-04-14 06:21:42

請(qǐng)問(wèn)如何選擇PLC可編程邏輯器件?

如何選擇PLC可編程邏輯器件?
2021-04-27 06:39:03

請(qǐng)問(wèn)怎么可編程寬帶運(yùn)算放大器?

怎么可編程寬帶運(yùn)算放大器?如何實(shí)現(xiàn)可編程寬帶運(yùn)算放大器的軟件設(shè)計(jì)?
2021-04-21 06:15:27

請(qǐng)問(wèn)怎樣去設(shè)計(jì)可編程放大器?

為什么要設(shè)計(jì)可編程放大器?怎樣去設(shè)計(jì)可編程放大器?可編程放大器有什么優(yōu)點(diǎn)?
2021-04-22 07:06:51

轉(zhuǎn): 一款基于Arduino開(kāi)發(fā)板的可編程開(kāi)源插座

塊 LCD 屏幕,它可編程的特點(diǎn)讓其成為了一款多功能便攜插座。從定時(shí)關(guān)燈到咖啡保溫,要實(shí)現(xiàn)理想的功能,會(huì)編程就行。發(fā)明者 Jon Thorn 表示 Portlet 的設(shè)計(jì)靈感是在廚房里玩的時(shí)候迸發(fā)的,他發(fā)現(xiàn)
2016-08-03 16:51:16

高性能的可編程電源管理解決方案

高性能的可編程電源管理解決方案
2012-08-20 23:13:11

高精度可編程電壓源

運(yùn)用ADI/Linear產(chǎn)品的超高精度可編程電壓源提供更清晰的器官和軟組織圖像,醫(yī)療專(zhuān)業(yè)人員將能更準(zhǔn)確地探知心臟問(wèn)題、腫瘤、囊腫和身體各部分中的異常。這只是該可編程電壓源的諸多應(yīng)用之。在今天的"組合參考電路"系列文章中,我們將介紹一款同時(shí)運(yùn)用ADI/Linear產(chǎn)品的超
2019-07-25 07:00:37

可編程控制器原理及應(yīng)用 PPT

可編程控制器原理及應(yīng)用第1章 概論第2章 可編程控制器構(gòu)成原理 第3章  S7-200可編程控制器 第4章 SIMATIC S7-200編程軟件 第5章 S7-200 PLC基本指令 5.2  算術(shù)、邏
2008-12-30 15:35:0574

EDA技術(shù)與可編程ASIX的設(shè)計(jì)實(shí)現(xiàn)方案

EDA技術(shù)與可編程ASIX的設(shè)計(jì)實(shí)現(xiàn)方案
2009-12-07 14:34:276

什么是可編程任意電源

什么是可編程任意電源 可編程任意電源就是某些功能或參數(shù)可以通過(guò)計(jì)算機(jī)軟件編程控制的電源。比如設(shè)置輸出電壓是多少,最大輸出電流是多少,超過(guò)這個(gè)值則不能正常
2008-11-27 08:18:07909

PIC單片機(jī)的可編程電源的設(shè)計(jì)

PIC單片機(jī)的可編程電源的設(shè)計(jì) 給出一種新型的基于PIC單片機(jī)的可編程電源的設(shè)計(jì),并從系統(tǒng)的硬件和軟件兩方面對(duì)這種設(shè)計(jì)作了分析。該電源能提供隨時(shí)
2009-03-29 15:11:091268

可編程基準(zhǔn)電源電路圖

可編程基準(zhǔn)電源電路圖 圖 可編程基準(zhǔn)電源電路圖
2009-07-20 15:47:101072

賽靈思推出DTV可編程平臺(tái)

賽靈思推出DTV可編程平臺(tái) 賽靈思公司日前在美國(guó)國(guó)際消費(fèi)電子展 (CES) 上宣布推出首款為開(kāi)發(fā)最先進(jìn)數(shù)字電視 (DTV) 解決方案而優(yōu)化的可編程平臺(tái)。該款賽靈思消費(fèi)DTV目
2010-01-09 10:38:42742

AD9833型高精度可編程波形發(fā)生器設(shè)計(jì)方案

AD9833型高精度可編程波形發(fā)生器設(shè)計(jì)方案 AD9833是ADI公司生產(chǎn)的一款低功耗,可編程波形發(fā)生器,能夠產(chǎn)生正弦波、三角波、方波輸出。波形發(fā)生器廣
2010-02-26 14:37:135751

現(xiàn)場(chǎng)可編程門(mén)陣列的供電原理及應(yīng)用

現(xiàn)場(chǎng)可編程門(mén)陣列的供電原理及應(yīng)用 FPGA概述現(xiàn)場(chǎng)可編程門(mén)陣列(FPGA)是一種可編程邏輯器件,由成千上萬(wàn)個(gè)完全相同的可編程邏輯單元組
2010-03-17 10:44:161377

可編程振蕩器,什么是可編程振蕩器

可編程振蕩器,什么是可編程振蕩器 可編程振蕩器是20世紀(jì)90年代早期為克服常規(guī)振蕩器制造時(shí)間長(zhǎng)的缺點(diǎn)而推出的。如圖1所示,任意頻率的常規(guī)
2010-03-22 14:40:142426

可編程SoC(SoPC),什么是可編程SoC(SoPC)

可編程SoC(SoPC),什么是可編程SoC(SoPC) SOPC ( System on a Programmable Chip,片上可編程系統(tǒng))是以PLD(可編程邏輯器件)取代ASIC(專(zhuān)用集成電路),更
2010-03-26 17:01:352336

眾志和達(dá)借助賽靈思打造首款可編程方案打造數(shù)據(jù)存儲(chǔ)

眾志和達(dá)借助賽靈思打造首款可編程方案打造數(shù)據(jù)存儲(chǔ) 賽靈思公司( Xilinx, Inc.)宣布, 北京眾志和達(dá)信息技術(shù)有限公司采用賽靈思可編程解決方案,成
2010-04-13 09:40:03574

Quicklogic ArcticLink可編程連接平臺(tái)設(shè)計(jì)

Quicklogic ArcticLink可編程連接平臺(tái)設(shè)計(jì)方案 ArcticLink可編程連接解決方案平臺(tái)采用0.18um六層金屬CMOS工藝制造,內(nèi)核電壓為1.8V,I/O電壓可設(shè)定為1.8V,2.5V和3.3
2010-04-22 18:12:38815

可編程硅蕩器SITIME

可編程有源晶振的制造方式及其優(yōu)點(diǎn): 可編程有源晶振是由兩顆芯片;一為全硅MEMS諧振器,一為具有溫補(bǔ)功能之啟動(dòng)電路鎖相環(huán)CMOS芯片;利用標(biāo)準(zhǔn)半導(dǎo)體芯片MCM封裝方式完成。 可編程
2011-06-08 18:04:421689

可編程電源簡(jiǎn)介

一.什么是可編程電源? 可編程任意電源就是某些功能或參數(shù)可以通過(guò)計(jì)算機(jī)軟件編程控制的電源。比如設(shè)置輸出電壓是多少,最大輸出電流是多少,超過(guò)這個(gè)值則不能正常供電等等。
2012-08-20 14:38:111965

MP32處理器在可編程邏輯應(yīng)用中實(shí)現(xiàn)MIPS輔助系統(tǒng)

Altera、MIPS技術(shù)公司以及System Level Solutions (SLS)公司合作,宣布為Altera的FPGA和HardCopy ASIC提供MP32處理器。MP32處理器在可編程邏輯應(yīng)用中實(shí)現(xiàn)了軟件和工具可擴(kuò)展MIPS輔助系統(tǒng)。它是業(yè)界
2012-10-17 15:37:55904

基于ARM的可編程數(shù)顯表的設(shè)計(jì)

基于ARM的可編程數(shù)顯表的設(shè)計(jì)
2017-09-25 09:02:216

PSoC 4 可編程片上系統(tǒng)

PSoC 4 可編程片上系統(tǒng)
2017-10-10 09:47:4611

現(xiàn)場(chǎng)可編程門(mén)陣列簡(jiǎn)介

FPGA業(yè)界的可編程只讀存儲(chǔ)器(PROM)和可編程邏輯器件(PLD)萌芽。可編程只讀存儲(chǔ)器(PROM)和可編程邏輯器件(PLD)都可以分批在工廠或在現(xiàn)場(chǎng)(現(xiàn)場(chǎng)可編程編程,然而,可編程邏輯被硬線(xiàn)連接在邏輯門(mén)之間。
2021-04-07 10:14:0276

片上可編程系統(tǒng)原理及應(yīng)用

片上可編程系統(tǒng)SOPC是一種靈活、高效的SoC解決方案,而FPGA 是可編程再設(shè)計(jì)的“萬(wàn)能”芯片,F(xiàn)PGA是作為專(zhuān)用集成電路(ASIC)領(lǐng)域中的一種半定制電路而出現(xiàn)的,在硅片上預(yù)先設(shè)計(jì)實(shí)現(xiàn)的具有可編程特性的集成電路,未來(lái)的FPGA芯片密度不斷提高。
2021-10-01 09:07:001614

LED可編程軟件安裝及使用說(shuō)明

LED可編程軟件安裝及使用說(shuō)明
2022-01-04 11:05:1118

億靈思?國(guó)產(chǎn)可編程邏輯芯片EDA軟件系列二:零學(xué)習(xí)成本、輕松上手!

1?億靈思設(shè)計(jì)軟件介紹億靈思設(shè)計(jì)軟件是中科億海微基于多年可編程邏輯芯片開(kāi)發(fā)軟件技術(shù)攻關(guān)與工程實(shí)踐應(yīng)用而研發(fā)的一款擁有國(guó)產(chǎn)自主知識(shí)產(chǎn)權(quán)的大規(guī)模可編程邏輯芯片開(kāi)發(fā)軟件,可以支持千萬(wàn)門(mén)級(jí)以上可編程邏輯芯片
2022-04-13 16:42:52888

億靈思?國(guó)產(chǎn)可編程邏輯芯片EDA軟件系列一:億靈思設(shè)計(jì)軟件介紹

01概述億靈思設(shè)計(jì)軟件是中科億海微基于多年可編程邏輯芯片開(kāi)發(fā)軟件技術(shù)攻關(guān)與工程實(shí)踐應(yīng)用而研發(fā)的一款擁有國(guó)產(chǎn)自主知識(shí)產(chǎn)權(quán)的大規(guī)模可編程邏輯芯片開(kāi)發(fā)軟件,可以支持千萬(wàn)門(mén)級(jí)以上可編程邏輯芯片的設(shè)計(jì)開(kāi)發(fā)
2022-03-10 11:01:55743

什么是可編程片上系統(tǒng)?PSOC和FPGA的區(qū)別

可編程片上系統(tǒng)(Programmable System-on-Chip,PSoC)是一種集成了數(shù)字邏輯、模擬電路和可配置模塊的片上系統(tǒng)。它將傳統(tǒng)的微處理器、微控制器和可編程邏輯器件等功能融合到一個(gè)芯片中,并提供了可編程的硬件資源和靈活的軟件開(kāi)發(fā)環(huán)境。
2023-07-06 15:15:092333

已全部加載完成