電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>可編程邏輯>基于管理和組合HDL電路單元IP庫(kù)的HAD輔助設(shè)計(jì)軟件研究

基于管理和組合HDL電路單元IP庫(kù)的HAD輔助設(shè)計(jì)軟件研究

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴

評(píng)論

查看更多

相關(guān)推薦

PYNQ設(shè)計(jì)案例:基于HDL語(yǔ)言+Vivado的自定義IP核創(chuàng)建

作者:Mculover666 1.實(shí)驗(yàn)?zāi)康?用HDL語(yǔ)言+Vivado創(chuàng)建一個(gè)掛載在AXI總線上的自定義IP核 2.實(shí)驗(yàn)步驟 2.1.創(chuàng)建一個(gè)新的項(xiàng)目 ? ? 2.2.調(diào)用Create
2020-12-21 16:34:143088

分析單元電路之放大電路的特點(diǎn)及其功能作用

一個(gè)復(fù)雜電路圖是由很多個(gè)單元電路組合實(shí)現(xiàn)的。 掌握單元電路的分析方法,就能夠看懂整個(gè)電路圖。 本文我們將分析單元電路之放大電路的特點(diǎn)及其功能作用。
2023-02-20 09:19:401324

使用MATLAB Simulink和HDL編碼器創(chuàng)建自定義IP--AWB

自動(dòng)白平衡模塊的設(shè)計(jì)是使用 HDL Coder 在 MATLAB 和 Simulink 中創(chuàng)建的。HDL Coder能夠生成 HDL 文件,這些文件可以作為 IP 在我們的目標(biāo) FPGA 中運(yùn)行。
2023-11-13 09:27:03449

Matlab輔助設(shè)計(jì)無(wú)限沖激響應(yīng)濾波器(IIR)算法的方法

了解IIR濾波器的特點(diǎn),掌握Matlab輔助設(shè)計(jì)濾波器系數(shù)的方法,并實(shí)現(xiàn)IIR濾波器濾除高頻信號(hào),并在LCD上顯示結(jié)果。
2023-11-16 17:34:50683

555時(shí)基電路的18個(gè)單元電路

簡(jiǎn)單起見(jiàn),只分成最簡(jiǎn)單的形式(3.3.1)和帶輔助器件的(3.3.2)兩個(gè)單元。圖中舉了兩個(gè)應(yīng)用實(shí)例。無(wú)穩(wěn)電路的輸入端一般都有兩個(gè)振蕩電阻和一個(gè)振蕩電容。只有一個(gè)振蕩電阻的可以認(rèn)為是特例。例如
2011-10-13 15:30:20

HAD-04HWAG-2

HAD-04HWAG-2
2023-03-29 21:35:24

HDL10S

HDL10S
2023-03-28 18:07:52

HDL怎樣對(duì)LC單元布線

我們用HDL對(duì)硬件進(jìn)行編程,但FPGA的最小邏輯單元LC之間是沒(méi)有連線的,編程之后是通過(guò)什么裝置對(duì)LC布線的?各個(gè)LC又是通過(guò)什么相連的?就像熔絲、反熔絲那樣可以理解,但其他的是怎樣的呢?
2013-08-14 10:25:52

電路系統(tǒng)計(jì)算機(jī)輔助設(shè)計(jì)課件及習(xí)題下載

`<p><font face="Verdana"><strong>電路系統(tǒng)計(jì)算機(jī)輔助設(shè)計(jì)
2009-10-13 16:24:30

軟件配置管理的三大庫(kù)分別是什么

軟件配置管理的三大庫(kù)分別是什么?軟件過(guò)程改進(jìn)CMM是什么?有何作用?
2021-12-23 07:50:10

Active-HDL9.1下載

  Active-HDL是集成VHDL,Verilog,EDIF,System C開(kāi)發(fā)環(huán)境。它由設(shè)計(jì)工具,VHDl&Verilog編譯器,單仿真內(nèi)核,調(diào)試工具,圖形仿真和資源、庫(kù)管理
2019-05-05 11:36:50

Arm密鑰管理單元規(guī)范

Arm?密鑰管理單元(KMU)是一種集中的密鑰管理架構(gòu),用于存儲(chǔ)對(duì)稱密鑰材料(資產(chǎn))。存儲(chǔ)在KMU中的密鑰對(duì)于軟件或其他硬件組件來(lái)說(shuō)是不可讀的。 軟件只能使用存儲(chǔ)在KMU中的資產(chǎn)通過(guò)將密鑰導(dǎo)出到加密
2023-08-09 06:43:22

AutoCAD2004軟件下載

AutoCAD2004是Autodesk公司最新推出的計(jì)算機(jī)輔助設(shè)計(jì)軟件,廣泛應(yīng)用于建筑、機(jī)械、電子等設(shè)計(jì)領(lǐng)域。點(diǎn)擊下載
2019-04-08 17:29:49

CAD軟件中如何管理符號(hào)庫(kù)?CAD符號(hào)庫(kù)管理教程

在進(jìn)行電氣圖紙弱電平面設(shè)計(jì)的過(guò)程中,經(jīng)常會(huì)需要用到各種符號(hào),那么浩辰CAD電氣軟件的弱電平面設(shè)計(jì)中如何管理符號(hào)庫(kù)呢?接下來(lái)CAD入門(mén)學(xué)習(xí)教程中就讓小編來(lái)給大家介紹一下浩辰CAD電氣軟件的弱電
2021-07-01 16:10:59

EDA輔助設(shè)計(jì)不得不提的 IP

EDA說(shuō)完了,再說(shuō)說(shuō)IP,IP對(duì)于今天SOC設(shè)計(jì)的重要性不用贅述了, Synopsys, Cadence的另一個(gè)殺手锏級(jí)的壟斷產(chǎn)品:接口類IP,這是每一顆SOC必不可少的東西,比如:高速SerDes
2020-06-15 08:03:59

EDA技術(shù)從何而來(lái)?EDA技術(shù)發(fā)展歷程

歷程,大致可分為三個(gè)階段。20世紀(jì)70年代,出現(xiàn)CAD(計(jì)算機(jī)輔助設(shè)計(jì))工具。人們開(kāi)始用計(jì)算機(jī)輔助進(jìn)行PCB布線設(shè)計(jì)、電路模擬、邏輯模擬及IC版圖的繪制等,主要解決繪圖和計(jì)算問(wèn)題,如用于PCB布線
2019-02-21 09:41:58

MATLAB控制系統(tǒng)計(jì)算機(jī)輔助設(shè)計(jì)

1引言計(jì)算機(jī)仿真技術(shù)是應(yīng)用電子計(jì)算機(jī)對(duì)研究對(duì)象的數(shù)學(xué)模型進(jìn)行計(jì)算和分析的方法。對(duì)于從事控制系統(tǒng)研究與設(shè)計(jì)的技術(shù)人員而言,M AT LA B 是目前控制系統(tǒng)計(jì)算機(jī)輔助設(shè)計(jì)實(shí)用有效的工具。這不
2021-09-07 07:41:57

PSPICE電子線路輔助設(shè)計(jì)

徐瑩雋的《PSPICE電子線路輔助設(shè)計(jì)》課件。附件總是上傳不上去,顯示錯(cuò)誤如下:  Microsoft VBScript 運(yùn)行時(shí)錯(cuò)誤 錯(cuò)誤 '800a01ad' ActiveX
2009-09-07 10:43:45

Protel 99 SE電路設(shè)計(jì)與仿真技術(shù) (電子書(shū))

Protel 99 SE是當(dāng)今最流行的電子電路計(jì)算機(jī)輔助設(shè)計(jì)(電子CAD)軟件之一。本書(shū)由淺入深系統(tǒng)地介紹了 Protel 99 SE的實(shí)用功能,包話:工程庫(kù)文件的管理、系統(tǒng)菜單和工具欄的管理、簡(jiǎn)單
2009-04-18 10:13:42

Verilog HDL經(jīng)典黑金資料(入門(mén)教程+實(shí)例精講+百例設(shè)計(jì))

設(shè)計(jì)方法學(xué)等方面的基本概念出發(fā)來(lái)研究和探討用于數(shù)字信號(hào)處理等領(lǐng)域的復(fù)雜硬線邏輯電路的設(shè)計(jì)技術(shù)和方法。特別強(qiáng)調(diào)利用Verilog硬件描述語(yǔ)言的Top-Down設(shè)計(jì)方法的介紹。Verilog HDL電路設(shè)計(jì)指導(dǎo)書(shū)
2018-12-10 15:31:15

Verilog HDL語(yǔ)言是什么

和系統(tǒng)部件(如FFT算法、DCT算法部件)建成宏單元(Megcell)或軟核(Soft-Core)庫(kù)供設(shè)計(jì)者引用
2021-11-08 09:30:31

[下載]cpld\fpga\verilog hdl視頻教程

基礎(chǔ)(PDF、視頻、課后習(xí)題)第4講:Verilog HDL中的組合邏輯設(shè)計(jì)方法(PDF、視頻)第5講:ModelSim軟件使用方法和技巧(視頻)第6講、Sopc硬件系統(tǒng)(視頻)第7講、sopc軟件系統(tǒng)(視頻
2009-03-26 16:37:40

[推薦]cpld\fpga\verilog hdl視頻教程

教程目錄: 入門(mén)篇:第1講、FPGA設(shè)計(jì)基礎(chǔ)(PDF、視頻)第2講、FPGA設(shè)計(jì)入門(mén)(視頻、課后習(xí)題)第3講、VerilogHDL基礎(chǔ)(PDF、視頻、課后習(xí)題)第4講:Verilog HDL中的組合
2009-03-09 22:56:25

zdm for AutoCAD工程輔助設(shè)計(jì)工具

  這是一款有水利電力工程設(shè)計(jì)人員在AutoCAD平臺(tái)開(kāi)發(fā)出來(lái)的CAD輔助設(shè)計(jì)工具?! ?b class="flag-6" style="color: red">軟件內(nèi)容涉及水工、施工、建筑、機(jī)、電、5大專業(yè)。是一個(gè)全面提高設(shè)計(jì)效率的二次擴(kuò)展平臺(tái)+專業(yè)模塊的綜合軟件。軟件
2019-04-18 08:53:04

《計(jì)算機(jī)輔助設(shè)計(jì)與Protel ***》教學(xué)教案PDF文件,適合新手...

《計(jì)算機(jī)輔助設(shè)計(jì)與Protel ***》教學(xué)教案,這是樓主入門(mén)時(shí)學(xué)長(zhǎng)發(fā)給我的,自我感覺(jué)不錯(cuò),入門(mén)還行,版本有點(diǎn)老,但使用起來(lái)還是挺方便的,觸類旁通吧。希望對(duì)入門(mén)同學(xué)有所幫助!
2013-07-20 17:33:28

什么是EDA技術(shù)?

什么是EDA技術(shù)?EDA是電子設(shè)計(jì)自動(dòng)化(Electronic Design Automation)縮寫(xiě),是90年代初從CAD(計(jì)算機(jī)輔助設(shè)計(jì))、CAM(計(jì)算機(jī)輔助制造)、CAT(計(jì)算機(jī)輔助
2019-07-30 06:20:05

保護(hù)您的 IP 內(nèi)核——第一部分軟 IP,第一節(jié):HDL 代碼的加密

保護(hù)您的 IP 內(nèi)核——第一部分軟 IP,第一節(jié):HDL 代碼的加密 IEEE Std 1735 2014 IEEE IP HDL 源代碼保護(hù)加密和管理推薦實(shí)踐 IEEE Std 1735
2022-02-23 12:27:05

關(guān)于EDA輔助設(shè)計(jì)的那些事

驗(yàn)證,通常我們?cè)谠O(shè)計(jì)的時(shí)候,會(huì)將廠家要求的標(biāo)準(zhǔn)提高一些來(lái)做。后端設(shè)計(jì):可以理解為將電路從器件符號(hào)形式轉(zhuǎn)為幾何圖形形式,以指導(dǎo)掩膜版的設(shè)計(jì)。然后,我把設(shè)計(jì)流程里各個(gè)環(huán)節(jié)能用且好用的軟件列一下(可以看到
2020-06-14 08:01:07

華為內(nèi)部Cadence教程

啟動(dòng)項(xiàng)目管理器 4第二章 Cadence 安裝 6第三章 CADENCE 庫(kù)管理. 153.1 中興EDA 庫(kù)管理系統(tǒng) 153.2 CADENCE 庫(kù)結(jié)構(gòu) 173.2.1 原理圖(Concept HDL
2013-06-20 17:10:06

基于SOC/IP的智能傳感器設(shè)計(jì)研究

功能模塊組成來(lái)講,它主要包括數(shù)據(jù)采集模塊、補(bǔ)償與校正模塊、數(shù)據(jù)處理模塊、數(shù)據(jù)網(wǎng)絡(luò)通信模塊、人機(jī)界面和任務(wù)管理與調(diào)度模塊等功能單元。從而基于IP的智能傳感器SOC設(shè)計(jì)過(guò)程為:首先正確建立智能傳感器的通用模塊
2008-08-26 09:38:34

聲表面波帶通濾波器設(shè)計(jì)仿真軟件研究

設(shè)計(jì)平臺(tái)開(kāi)發(fā)了SAW帶通濾波器計(jì)算機(jī)輔助設(shè)計(jì)軟件,可實(shí)現(xiàn)叉指換能器(IDT)的自動(dòng)設(shè)計(jì)與濾波器的仿真.利用MatrixVB插件進(jìn)行Visual Basic與Matlab語(yǔ)言的混合編程,實(shí)現(xiàn)了計(jì)算和繪圖
2010-04-22 11:50:38

如何對(duì)Verilog HDL的復(fù)位激勵(lì)進(jìn)行測(cè)試

(Field Programmable Gate Array)是在PAL、GAL等可編程器件的基礎(chǔ)上進(jìn)一步發(fā)展的產(chǎn)物。它是作為專用集成電路(ASIC)領(lǐng)域中的一種半定制電路而出現(xiàn)的,既解決了定制電路的不足,又克服了原有可編程器件門(mén)電路數(shù)有限的缺點(diǎn)。FPGA設(shè)計(jì)不是簡(jiǎn)單的芯片研究
2022-02-23 06:29:31

嵌入式系統(tǒng)設(shè)計(jì)方法變化的背景

、Flashmemory、A/D、D/A、MPEG/JPEG、USB、PCI、標(biāo)準(zhǔn)接口、網(wǎng)絡(luò)單元、編譯器、編碼/解碼器和模擬器件模塊等。豐富的IP內(nèi)核模塊庫(kù)為快速地設(shè)計(jì)專用集成電路和單片系統(tǒng)以及盡快
2011-06-01 09:40:48

開(kāi)關(guān)穩(wěn)壓器計(jì)算機(jī)輔助設(shè)計(jì)與仿真軟件的應(yīng)用

【簡(jiǎn)介】《穩(wěn)壓電源實(shí)用設(shè)計(jì)軟件叢書(shū):開(kāi)關(guān)穩(wěn)壓器計(jì)算機(jī)輔助設(shè)計(jì)與仿真軟件的應(yīng)用》為“穩(wěn)壓電源實(shí)用設(shè)計(jì)軟件叢書(shū)”的第2部,全面系統(tǒng)深入地闡述了開(kāi)關(guān)穩(wěn)壓器計(jì)算機(jī)輔助設(shè)計(jì)及仿真軟件的應(yīng)用技術(shù)。全書(shū)共十蕈
2016-06-11 00:19:17

怎么查看主電路輔助電路

看主電路的步驟看輔助電路的步驟
2021-03-01 06:48:01

想學(xué)電路設(shè)計(jì)需要有什么基礎(chǔ)

改進(jìn)、修復(fù)錯(cuò)誤、直至成功。采用電路仿真軟件進(jìn)行電路輔助設(shè)計(jì)、虛擬的電路實(shí)驗(yàn),可提高工程師工作效率、節(jié)約學(xué)習(xí)時(shí)間,使實(shí)物圖更直觀。中國(guó):ui6855.com
2019-06-28 14:11:49

招UI設(shè)計(jì),電腦輔助設(shè)計(jì)等兼職老師

本單位長(zhǎng)期招UI設(shè)計(jì),電腦輔助設(shè)計(jì)等兼職老師,短周期的培訓(xùn),可周末,如您想掙點(diǎn)外塊,積累資源,充實(shí)生活,請(qǐng)聯(lián)系我,要求有實(shí)際項(xiàng)目經(jīng)歷,兩年以上工作經(jīng)歷,表達(dá)能力較好,有意者請(qǐng)聯(lián)系QQ:2294693830,郵件soft@info-soft.cn。
2012-06-28 14:14:00

輔助軟件

本帖最后由 Q13131378788 于 2015-5-5 11:50 編輯 有什么電子輔助軟件,比如設(shè)計(jì)好電路,用什么軟件測(cè)試啊。求文件
2015-04-14 22:24:43

求助,使用active_hdl 仿真xilinx IP,遇到問(wèn)題

使用active_hdl 12.0 仿真xilinx IP。按照文檔,在vivado中編譯好了用于active_hdl 12.0的IP庫(kù),并在active_hdl軟件中完成添加。同時(shí)將vivado
2022-09-25 22:46:59

用于可重構(gòu)硬件容錯(cuò)過(guò)程的輔助布線電路設(shè)計(jì)

,提出一種支持可重構(gòu)單元陣列快速容錯(cuò)的輔助布線電路,該電路結(jié)構(gòu)由二維的輔助布線模塊構(gòu)成,每個(gè)輔助布線模塊可以讀取并修改所在可重構(gòu)單元的可編程開(kāi)關(guān)配置數(shù)據(jù).可重構(gòu)單元陣列容錯(cuò)時(shí),輔助布線電路代替外部軟件執(zhí)行
2010-04-24 09:01:53

電子電路仿真和設(shè)計(jì)軟件

特別是數(shù)字電路的仿真,使用起來(lái)簡(jiǎn)單方便,仿真效果直觀,十分適合初學(xué)者做輔助設(shè)計(jì)電路知識(shí)的學(xué)習(xí)。Multisim電路仿真工具,是美國(guó)國(guó)家儀器( NI)公司推出的一款模擬/數(shù)字電踣設(shè)計(jì)軟件,以多種
2017-08-29 09:54:26

計(jì)算機(jī)輔助電路設(shè)計(jì)教學(xué)方法研究與實(shí)踐

是基于Windows環(huán)境的電路板設(shè)計(jì)軟件,是目前國(guó)內(nèi)最流行的通用電子設(shè)計(jì)自動(dòng)化軟件。它具有豐富的編輯功能,強(qiáng)大而便捷的自動(dòng)化設(shè)計(jì)能力,完善有效的檢測(cè)工具,靈活有序的設(shè)計(jì)管理手段,為用戶提供了極其豐富的原理圖元件庫(kù)和印制電路板(簡(jiǎn)稱PCB)元件庫(kù)。隨著這種軟件的廣 [hide]全文下載[/hide]
2010-04-22 11:52:06

計(jì)算機(jī)輔助設(shè)計(jì)

“計(jì)算機(jī)輔助設(shè)計(jì)(工業(yè)產(chǎn)品CAD)”賽題參賽選手須知1.比賽時(shí)間4小時(shí),賽題共2大題3道題目,參賽選手需完成所有題目。2.參賽選手應(yīng)始終保持賽題、圖冊(cè)的完整,不得拆散試題或圖冊(cè)。3.參賽選手應(yīng)按照
2021-09-08 07:04:14

請(qǐng)問(wèn)如何去設(shè)計(jì)可編程器件輔助軟件?

HAD輔助設(shè)計(jì)軟件有哪些功能?電路模塊HDL程序是怎樣生成的?管理電路單元庫(kù)程序的設(shè)計(jì)思路是怎樣的?請(qǐng)問(wèn)如何去設(shè)計(jì)可編程器件輔助軟件?
2021-04-14 06:21:42

請(qǐng)問(wèn)這個(gè)IP5189移動(dòng)電源管理芯片的外部電路是怎么出現(xiàn)的?

這是個(gè)IP5189移動(dòng)電源管理芯片的外部電路中出現(xiàn)的 求解謝謝
2019-08-22 02:46:26

超大規(guī)模集成電路計(jì)算機(jī)輔助設(shè)計(jì)技術(shù)

標(biāo)準(zhǔn)EDIF,動(dòng)態(tài)數(shù)據(jù)交換標(biāo)準(zhǔn)CFI和版圖級(jí)的標(biāo)準(zhǔn)CIF和GDS2等。由于有標(biāo)準(zhǔn)化的數(shù)據(jù)交換接口,因此允許用戶將多個(gè)不同的CAD公司的工具集成在一個(gè)EDA系統(tǒng)中。目前系統(tǒng)的設(shè)計(jì)能力可達(dá)每個(gè)芯片幾十萬(wàn)到上百萬(wàn)門(mén)。 [hide]超大規(guī)模集成電路計(jì)算機(jī)輔助設(shè)計(jì)技術(shù).pdf[/hide]
2009-10-13 16:59:50

高壓大功率開(kāi)關(guān)電源的Pspice計(jì)算機(jī)輔助設(shè)計(jì)

高壓大功率開(kāi)關(guān)電源的Pspice計(jì)算機(jī)輔助設(shè)計(jì),覺(jué)得有用的看看
2010-12-22 16:11:00

電路系統(tǒng)計(jì)算機(jī)輔助設(shè)計(jì)課件

電路系統(tǒng)計(jì)算機(jī)輔助設(shè)計(jì)課件準(zhǔn)確、高效地設(shè)計(jì)電路 電子設(shè)計(jì)方法的發(fā)展1)傳統(tǒng)的設(shè)計(jì)方法    焊接電路圖—測(cè)試—修改電路—反復(fù)—確定—繪制印制板圖(繪圖
2008-10-29 16:41:490

電器計(jì)算機(jī)輔助設(shè)計(jì)

電器計(jì)算機(jī)輔助設(shè)計(jì)內(nèi)容有電器數(shù)學(xué)模型,最優(yōu)化設(shè)計(jì),數(shù)據(jù)結(jié)構(gòu)與數(shù)據(jù)庫(kù)技術(shù),幾何造型與圖形變換,計(jì)算機(jī)繪圖技術(shù),電器CAD系弘的智能化等內(nèi)容。
2008-11-15 15:09:460

Protel 99 SE 電路設(shè)計(jì)與仿真技術(shù)

Protel 99 SE是當(dāng)今最流行的電子電路計(jì)算機(jī)輔助設(shè)計(jì)(電子CAD)軟件之一。本書(shū)由淺入深系統(tǒng)地介紹了 Protel 99 SE的實(shí)用功能,包話:工程庫(kù)文件的管理、系統(tǒng)菜單和工具欄的管理、
2009-04-18 09:20:050

空冷器計(jì)算機(jī)輔助設(shè)計(jì)的方法

空冷器計(jì)算機(jī)輔助設(shè)計(jì)的方法:介紹了空冷器工藝計(jì)算,優(yōu)化設(shè)計(jì),強(qiáng)度計(jì)算及施工圖繪制等計(jì)算機(jī)輔助設(shè)計(jì)CAD的依據(jù),方法及程序。
2009-06-08 23:56:5546

淺談客車(chē)空調(diào)系統(tǒng)的計(jì)算機(jī)輔助設(shè)計(jì)

文章通過(guò)對(duì)客車(chē)空調(diào)系統(tǒng)的設(shè)計(jì)要求和計(jì)算機(jī)輔助設(shè)計(jì)的特點(diǎn)分析, 提出了開(kāi)發(fā)客車(chē)空調(diào)系統(tǒng)計(jì)算機(jī)輔助設(shè)計(jì)軟件的思路, 并介紹了軟件結(jié)構(gòu)。關(guān)鍵詞: 客車(chē)空調(diào); 計(jì)算機(jī)輔助設(shè)
2009-07-25 14:56:3231

C波段介質(zhì)諧振器穩(wěn)頻振蕩器的計(jì)算輔助設(shè)計(jì)

C波段介質(zhì)諧振器穩(wěn)頻振蕩器的計(jì)算輔助設(shè)計(jì):本文介紹了一種C波段反射型GAAS MESFET介質(zhì)諧振器穩(wěn)頻振蕩器RDRO。進(jìn)行了理論分析和數(shù)學(xué)模擬,并借助計(jì)算機(jī)利用ANSOFT公司的SERENADE 7.0軟
2009-11-04 19:06:0020

為昕物料庫(kù)管理系統(tǒng)

為昕公司研發(fā)的庫(kù)管理系統(tǒng),可以智能快速智能建庫(kù);庫(kù)管理系統(tǒng)實(shí)時(shí)對(duì)接EDA、ERP、CRM、PLM系統(tǒng),讓硬件工程師實(shí)時(shí)獲取最新數(shù)據(jù),不用擔(dān)心器件數(shù)據(jù)錯(cuò)誤,避免返工; 并且員工離職也不用擔(dān)心
2023-03-06 16:48:36

蒸發(fā)器異型管板的輔助設(shè)計(jì)軟件

由于蒸發(fā)器異型管板的結(jié)構(gòu)特殊性,國(guó)內(nèi)無(wú)相應(yīng)設(shè)計(jì)標(biāo)準(zhǔn)可循,迫切需要開(kāi)發(fā)蒸發(fā)器異型管板的輔助設(shè)計(jì)軟件。利用APDL 和ActiveX Automation 技術(shù),通過(guò)Visual Basic 6.0二次開(kāi)發(fā)有限
2009-12-07 11:52:1414

三維立體計(jì)算機(jī)輔助設(shè)計(jì)陣列原理與算

陣列變換是計(jì)算機(jī)輔助設(shè)計(jì)中的一種常用手段,在工業(yè)設(shè)計(jì)中的應(yīng)用也很普及。本文在研究分析了三維變換原理的基礎(chǔ)上,基于Java 3D 工具平臺(tái),對(duì)三維立體的陣列算法以及程序
2010-01-22 14:19:5210

NPU超大規(guī)模集成電路計(jì)算機(jī)輔助設(shè)計(jì)系統(tǒng)

摘要:NPu系統(tǒng)是西北工業(yè)大學(xué)開(kāi)發(fā)的一個(gè)超大規(guī)模集成電路計(jì)算機(jī)輔助設(shè)計(jì)系統(tǒng).本文對(duì)該系統(tǒng)作了簡(jiǎn)單的介紹與評(píng)估.  關(guān)鍵詞:計(jì)算機(jī)輔助設(shè)計(jì),超大規(guī)模 ,集成電路設(shè)
2010-04-28 09:28:4511

用MATLAB輔助設(shè)計(jì)IIR數(shù)字濾波器

用MATLAB輔助設(shè)計(jì)IIR數(shù)字濾波器摘 要:論述了用MATLAB求解IIR數(shù)字濾波器系數(shù)的原理和函數(shù)以及這些函數(shù)的使用方法,并給出設(shè)計(jì)實(shí)例以供參考。關(guān)鍵詞:數(shù)字濾波器
2010-05-14 14:08:0274

Protel 99SE二次開(kāi)發(fā)技術(shù)的研究

Protel是澳大利亞Protel Technology公司研制的普及型電路輔助設(shè)計(jì)軟件,Protel 99SE是當(dāng)今較為流行的一個(gè)版本.它集強(qiáng)大的設(shè)計(jì)能力、復(fù)雜工藝的可生產(chǎn)性、設(shè)計(jì)過(guò)程的管理于一體,可
2010-06-11 08:30:530

采用MATLAB對(duì)SPWM進(jìn)行輔助設(shè)計(jì)

采用MATLAB對(duì)SPWM進(jìn)行輔助設(shè)計(jì) 1概述 傳統(tǒng)的SPWM采用頻率數(shù)倍于正弦波的三角波調(diào)制正弦波,可得到
2009-07-10 09:27:002347

開(kāi)關(guān)電源印制板EMC輔助設(shè)計(jì)軟件方法

開(kāi)關(guān)電源印制板EMC輔助設(shè)計(jì)軟件方法 A Software Methodof EMC CAD for PCB of SMPS 摘要:提出了一種基于電場(chǎng)分析的開(kāi)關(guān)電源印制
2009-07-25 09:49:02550

基于計(jì)算機(jī)輔助設(shè)計(jì)技術(shù)(TCAD)的工藝開(kāi)發(fā)

  核心提示:東芝公司開(kāi)發(fā)出了一種基于計(jì)算機(jī)輔助設(shè)計(jì)技術(shù)(TCAD)的方法,可以減少工藝開(kāi)發(fā)的成本和時(shí)間。該
2010-11-10 10:01:43841

Protel99SE二次開(kāi)發(fā)技術(shù)研究

Protel-~件是澳大利亞Protel Technology公司研制的普及型電路輔助設(shè)計(jì)軟件,Protel 99SE是當(dāng)今較為流行的一個(gè)版本.它集強(qiáng)大的設(shè)計(jì)能力、復(fù)雜工藝的可生產(chǎn)性、設(shè)計(jì)過(guò)程的管理于一體,可完
2011-06-23 14:32:270

PROTEL上電子元件圖的繪制

電路工程設(shè)計(jì)自動(dòng)化EDA (Electronic Design Automation) 目前已呈強(qiáng)勁的發(fā)展勢(shì)頭,各種輔助設(shè)計(jì)軟件層出不窮.早期的計(jì)算機(jī)電路輔助設(shè)計(jì)軟件(諸如P-CAD,PADS,ORCAD等),都依托于DOS操作系統(tǒng).
2011-06-23 14:39:220

Protel與AutoCAD電路輔助設(shè)計(jì)

隨著新型器件和集成電路應(yīng)用越來(lái)越廣泛,電路也越來(lái)越復(fù)雜,從而也就推動(dòng)了電路設(shè)計(jì)自動(dòng)化軟件的不斷發(fā)展,使其功能越來(lái)越強(qiáng)大。 Protel 是PROTEL TECHNOLOGY公司推出的專用于電路設(shè)計(jì)
2011-06-23 14:40:370

單元組合式移相新型控制電路研究

針對(duì)目前 高壓變頻器 在國(guó)內(nèi)外所面臨的專用控制電路滯后的現(xiàn)狀,本文研究開(kāi)發(fā)了一種適用于單元組合式高壓變頻器拓?fù)涞男滦涂刂茖S?b class="flag-6" style="color: red">電路。該電路采用了多相分時(shí)檢索算法,具有
2011-08-23 17:31:480

HDL的可綜合設(shè)計(jì)簡(jiǎn)介

本文簡(jiǎn)單探討了verilog HDL設(shè)計(jì)中的可綜合性問(wèn)題,適合HDL初學(xué)者閱讀 用組合邏輯實(shí)現(xiàn)的電路和用時(shí)序邏輯實(shí)現(xiàn)的 電路要分配到不同的進(jìn)程中。 不要使用枚舉類型的屬性。 Integer應(yīng)加范圍
2012-01-17 11:17:030

基本組合邏輯功能雙向管腳的Verilog HDL源代碼

電子發(fā)燒友網(wǎng)核心提示: 本例程是Verilog HDL源代碼:關(guān)于基本組合邏輯功能中雙向管腳的功能實(shí)現(xiàn)源代碼。 Verilog HDL: Bidirectional Pin This example implements a clocked bidirectional pin in Verilog HDL.
2012-10-15 11:28:261525

基于SoPC系統(tǒng)的紅外解碼IP核的設(shè)計(jì)與實(shí)現(xiàn)方法研究

電子發(fā)燒友網(wǎng)核心提示:本文主要介紹了紅外解碼IP核在SoPC系統(tǒng)中的設(shè)計(jì)與實(shí)現(xiàn)方法,重點(diǎn)研究紅外系統(tǒng)的數(shù)據(jù)編碼和傳輸機(jī)制、紅外解碼電路HDL設(shè)計(jì)、IP核的制作及在SoPC系統(tǒng)中的應(yīng)
2012-11-30 10:56:402010

ZEMAX光學(xué)輔助設(shè)計(jì)簡(jiǎn)明教程

ZEMAX光學(xué)輔助設(shè)計(jì)簡(jiǎn)明教程 ZEMAX光學(xué)輔助設(shè)計(jì)簡(jiǎn)明教程
2015-10-30 17:57:220

基于Proteus的步進(jìn)電機(jī)加減速控制輔助設(shè)計(jì)方法

基于Proteus的步進(jìn)電機(jī)加減速控制輔助設(shè)計(jì)方法
2016-05-03 13:52:5917

開(kāi)關(guān)穩(wěn)壓器計(jì)算機(jī)輔助設(shè)計(jì)與仿真軟件的應(yīng)用part1

開(kāi)關(guān)穩(wěn)壓器計(jì)算機(jī)輔助設(shè)計(jì)與仿真軟件的應(yīng)用,一共分為2部分上傳,感興趣的需要全部下載打開(kāi),這是第一部分,有需要的下來(lái)看看。
2016-06-21 16:42:250

開(kāi)關(guān)穩(wěn)壓器計(jì)算機(jī)輔助設(shè)計(jì)與仿真軟件的應(yīng)用part2

開(kāi)關(guān)穩(wěn)壓器計(jì)算機(jī)輔助設(shè)計(jì)與仿真軟件的應(yīng)用,一共分為2部分上傳,感興趣的需要全部下載打開(kāi),這是第二部分,有需要的下來(lái)看看。
2016-06-21 16:42:250

螺桿泵直驅(qū)單元組合超細(xì)長(zhǎng)永磁電機(jī)振動(dòng)研究_張炳義

螺桿泵直驅(qū)單元組合超細(xì)長(zhǎng)永磁電機(jī)振動(dòng)研究_張炳義
2017-01-08 13:15:480

基于CMMI的軟件配置管理模型研究_顧夢(mèng)華

基于CMMI的軟件配置管理模型研究_顧夢(mèng)華
2017-03-19 11:33:110

基于協(xié)同管理軟件檢測(cè)平臺(tái)應(yīng)用研究王坤

基于協(xié)同管理軟件檢測(cè)平臺(tái)應(yīng)用研究_王坤
2017-03-15 08:00:000

軟件配置管理策略研究顧夢(mèng)華

軟件配置管理策略研究_顧夢(mèng)華
2017-03-14 08:00:000

TL431穩(wěn)壓電路設(shè)計(jì)輔助軟件

431穩(wěn)壓電路設(shè)計(jì)輔助軟件
2017-08-21 11:45:2338

采用MATLAB對(duì)SPWM進(jìn)行輔助設(shè)計(jì)與詳細(xì)分析和解決方法

采用MATLAB對(duì)SPWM進(jìn)行輔助設(shè)計(jì)與詳細(xì)分析和解決方法
2017-09-14 14:22:2818

基于PCI橋接IP Core的VeriIog HDL實(shí)現(xiàn)

基于PCI橋接IP Core的VeriIog HDL實(shí)現(xiàn)
2017-10-31 09:28:5722

集成電路計(jì)算機(jī)輔助設(shè)計(jì)

、集成電路芯片設(shè)計(jì)軟件不斷升級(jí)以及設(shè)計(jì)理念、設(shè)計(jì)方法和設(shè)計(jì)手段不斷提高的需求而編寫(xiě)的。本系列教材分集成電路計(jì)算機(jī)輔助設(shè)計(jì)與驗(yàn)證算法與集成電路計(jì)算機(jī)輔助設(shè)計(jì)與驗(yàn)證實(shí)踐兩本,分別從算法和實(shí)踐的角度介紹集成電路輔助設(shè)計(jì)與驗(yàn)證工具。編寫(xiě)上突出理論與實(shí)踐相結(jié)合的風(fēng)格
2018-04-17 15:25:4211

電源電路設(shè)計(jì)常用軟件合集介紹,是你經(jīng)常用的嗎?

用于模擬電路仿真的SPICE(Simulation Program with Integrated Circuit Emphasis)軟件于1972年由美國(guó)加州大學(xué)伯克利分校的計(jì)算機(jī)輔助設(shè)計(jì)小組利用FORTRAN語(yǔ)言開(kāi)發(fā)而成,主要用于大規(guī)模集成電路的計(jì)算機(jī)輔助設(shè)計(jì)。
2018-08-07 10:15:344827

汽車(chē)電子控制單元ECU的IP核如何設(shè)計(jì)

本文給出了汽車(chē)電子控制單元 ECU 的 IP 核設(shè)計(jì)。該 IP 核基于 RISC 技術(shù)的單指令、單周期的體系結(jié)構(gòu),并采用了自頂向下(top-down)的設(shè)計(jì)方法和硬件描述語(yǔ)言 Verilog HDL,給出了 ECU 的體系結(jié)構(gòu)以及各個(gè)功能模塊的具體設(shè)計(jì)和仿真結(jié)果。
2018-10-31 08:00:0020

DiffAmpCalc差分放大器輔助設(shè)計(jì)工具

DiffAmpCals是ADI公司開(kāi)發(fā)的差分放大器輔助設(shè)計(jì)工具,為客戶提供指定差分放大器產(chǎn)品的柘撲結(jié)構(gòu),外圍元器件,輸入輸出范圍,直流參數(shù)以及交流參數(shù)計(jì)算等一系列非常實(shí)用的設(shè)計(jì)服務(wù)。
2019-06-12 06:04:002828

Verilog HDL語(yǔ)言組合邏輯設(shè)計(jì)方法以及QuartusII軟件的一些高級(jí)技巧

本文檔的主要內(nèi)容詳細(xì)介紹的是Verilog HDL語(yǔ)言組合邏輯設(shè)計(jì)方法以及QuartusII軟件的一些高級(jí)技巧。
2019-07-03 17:36:1219

計(jì)算機(jī)輔助設(shè)計(jì)軟件CAD的學(xué)習(xí)教程免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是計(jì)算機(jī)輔助設(shè)計(jì)軟件CAD的學(xué)習(xí)教程免費(fèi)下載。
2020-05-15 08:00:000

設(shè)計(jì)一款可編程HAD輔助軟件方案

Xilinx 公司和 Altera 公司的設(shè)計(jì)工具中,提供了一些 HDL 形式的電路庫(kù)。不過(guò)庫(kù)單元都是比較簡(jiǎn)單的小規(guī)模數(shù)字電路,如邏輯門(mén)、74 系列器件等,對(duì)中、大規(guī)模和復(fù)雜邏輯時(shí)序電路的設(shè)計(jì)幫助不大。
2020-07-02 17:25:05954

Verilog HDL數(shù)字集成電路設(shè)計(jì)原理與應(yīng)用第二版PDF電子書(shū)免費(fèi)下載

本書(shū)介紹了硬件描述和Verilog HDL概述、Verilog HDL的基本語(yǔ)法、Verilog HDL程序設(shè)計(jì)語(yǔ)句和描述方式、Verilog HDL對(duì)組合邏輯和時(shí)序邏輯的設(shè)計(jì)舉例、Verilog
2020-07-21 08:00:000

EDA軟件國(guó)產(chǎn)替代空間廣闊

EDA軟件是電子設(shè)計(jì)自動(dòng)化軟件,是指利用計(jì)算機(jī)輔助設(shè)計(jì)軟件,來(lái)完成超大規(guī)模集成電路芯片的功能設(shè)計(jì)、綜合、驗(yàn)證、物理設(shè)計(jì)等流程的設(shè)計(jì)方式。EDA軟件可以分為芯片設(shè)計(jì)輔助軟件、系統(tǒng)設(shè)計(jì)輔助軟件、可編程
2021-04-03 09:58:001644

集成電路快速發(fā)展EDA軟件市場(chǎng)需求持續(xù)釋放

芯片輔助設(shè)計(jì)軟件三大類,在信息化時(shí)代背景下,集成電路行業(yè)發(fā)展速度較快,而EDA軟件作為集成電路設(shè)計(jì)產(chǎn)業(yè)中最上游、最高端的一個(gè)領(lǐng)域,其市場(chǎng)需求不斷釋放。 根據(jù)新思界產(chǎn)業(yè)研究中心發(fā)布的《2021-2026年EDA軟件行業(yè)深度分析及"十四五"
2021-04-02 18:19:543767

利用變壓器輔助設(shè)計(jì)軟件完成EI型低(工)頻設(shè)計(jì)計(jì)算的方法

利用變壓器輔助設(shè)計(jì)軟件完成 EI 型低(工)頻設(shè)計(jì)計(jì)算的方法介紹。
2021-06-17 11:46:1222

高壓大功率開(kāi)關(guān)電源的PSPICE計(jì)算機(jī)輔助設(shè)計(jì)

高壓大功率開(kāi)關(guān)電源的PSPICE計(jì)算機(jī)輔助設(shè)計(jì)(創(chuàng)聯(lián)電源技術(shù)參數(shù))-高壓大功率開(kāi)關(guān)電源的PSPICE計(jì)算機(jī)輔助設(shè)計(jì)? ? ? ? ??
2021-09-18 10:00:359

開(kāi)關(guān)電源中變壓器的Saber仿真輔助設(shè)計(jì)一:反激

開(kāi)關(guān)電源中變壓器的Saber仿真輔助設(shè)計(jì)一:反激(當(dāng)今電源技術(shù)的發(fā)展趨勢(shì))-開(kāi)關(guān)電源中變壓器的Saber仿真輔助設(shè)計(jì)一:反激? ? ? ? ? ? ??
2021-09-18 15:32:2266

單元電路之振蕩電路的作用、特點(diǎn)和典型計(jì)算

一個(gè)復(fù)雜電路圖是由很多個(gè)單元電路組合實(shí)現(xiàn)的。掌握單元電路的分析方法,就能夠看懂整個(gè)電路圖。本文我們將分析單元電路之振蕩電路的特點(diǎn)及其功能作用。
2022-11-01 10:06:251300

一款電子工程師入門(mén)必備工具——方波發(fā)生器輔助設(shè)計(jì)工具

為了方便大家搭建實(shí)物,少走彎路,我們?yōu)榇蠹艺砹艘环莘讲?b class="flag-6" style="color: red">電路輔助設(shè)計(jì)表格。大家只需要把表格中的對(duì)應(yīng)數(shù)據(jù)填好,即可完成整體的電路參數(shù)計(jì)算。
2022-12-06 15:34:26514

已全部加載完成