電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>可編程邏輯>FPGA Verilog HDL系列實例—AD轉(zhuǎn)換

FPGA Verilog HDL系列實例—AD轉(zhuǎn)換

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴

評論

查看更多

相關(guān)推薦

深入理解FPGA Verilog HDL語法(一)

今天給大俠帶來的是一周掌握FPGA Verilog HDL 語法,今天開啟第一天,下面咱們廢話就不多說了,一起來看看吧。
2022-07-18 09:47:402074

深入理解FPGA Verilog HDL語法(二)

今天給大俠帶來的是一周掌握FPGA Verilog HDL 語法,今天開啟第二天。上一篇提到了整數(shù)型以及參數(shù)型,此篇我們繼續(xù)來看變量以及后續(xù)其他內(nèi)容,結(jié)合實例理解理論語法,會讓你理解運用的更加透徹。下面咱們廢話就不多說了,一起來看看吧。
2022-07-18 09:52:361262

FPGA Verilog HDL 設(shè)計實例系列連載--------樂曲演奏

即可。實驗實現(xiàn):  詳細實現(xiàn)步驟請參考FPGA Verilog HDL 設(shè)計實例系列連載--------8-3編碼器(1)在設(shè)計文件中輸入Verilog代碼
2012-03-12 14:16:20

FPGA Verilog HDL 設(shè)計實例系列連載------AD轉(zhuǎn)換(ADC0809)

(程序中會有體現(xiàn))。     Verilog HDL實現(xiàn)實現(xiàn)步驟請參照 FPGA Verilog HDL 設(shè)計實例系列連載--------8-3編碼器設(shè)計文件輸入Verilog HDL代碼
2012-03-13 16:08:11

FPGA Verilog HDL 設(shè)計實例系列連載------七段數(shù)碼管掃描顯示

HDL 設(shè)計實例系列連載--------8-3編碼器設(shè)計文件輸入Verilog HDL代碼。[code
2012-03-14 11:16:34

FPGA Verilog HDL 設(shè)計實例系列連載------交通燈的控制

……2)、設(shè)置一組數(shù)碼管,以倒計時的方式顯示允許通過或禁止通過的時間,其中綠燈、黃燈、紅燈的持續(xù)時間為20s,5s,25s。Verilog HDL實現(xiàn)設(shè)計文件輸入Verilog HDL代碼?! ∠到y(tǒng)
2012-03-14 13:58:10

FPGA Verilog HDL系列實例--------步進電機驅(qū)動控制

【連載】 FPGAVerilog HDL 系列實例Verilog HDL 之步進電機驅(qū)動控制步進電機的用途還是非常廣泛的,目前打印機,繪圖儀,機器人等等設(shè)備都以步進電機為動力核心。那么,下面我們
2021-06-29 08:11:48

FPGA雙沿發(fā)送之Verilog HDL實現(xiàn) 精選資料推薦

1.1 FPGA雙沿發(fā)送之Verilog HDL實現(xiàn)1.1.1 本節(jié)目錄1)本節(jié)目錄;2)本節(jié)引言;3)FPGA簡介;4)FPGA雙沿發(fā)送之Verilog HDL實現(xiàn);5)結(jié)束語。1.1.2 本節(jié)
2021-07-26 06:20:59

FPGA雙沿采樣之Verilog HDL實現(xiàn) 精選資料分享

1.1 FPGA雙沿采樣之Verilog HDL實現(xiàn)1.1.1 本節(jié)目錄1)本節(jié)目錄;2)本節(jié)引言;3)FPGA簡介;4)FPGA雙沿采樣之Verilog HDL實現(xiàn);5)結(jié)束語。1.1.2 本節(jié)
2021-07-26 07:44:03

FPGA應用開發(fā)入門與典型實例pdf免費下載(華清遠見編寫)

詳細講解了FPGA應用開發(fā)的方方成面,主要涵盞以下內(nèi)容:   從零開始設(shè)計FPGA最小系統(tǒng)   Verilog HDL語言基礎(chǔ)   QUARTUS II、ISE的使用技巧   FPGA系統(tǒng)設(shè)計的仿真
2012-02-09 15:45:32

FPGA編程是用VHDL還是verilog HDL好用?謝謝了!

FPGA編程是用VHDL還是verilog HDL好用?謝謝了!{:soso_e183:}
2012-06-19 17:36:29

FPGA編程是用VHDL還是verilog HDL好用?謝謝了!

[color=#444444 !important]FPGA編程是用VHDL還是verilog HDL好用?謝謝了!
2012-06-19 17:39:00

Verilog HDL 那些事兒-建模篇-學FPGA入門最佳資料

學習 Verilog HDLFPGA 之間,始終會出現(xiàn)一組群體,他們都是徘徊在學習的邊緣。在他們的心中一直回響著這樣的一個問題:“我在學什么,為什么不管我怎么學,我都沒有實感 ... ” 沒錯
2015-01-14 17:48:01

Verilog HDL測試激勵之復位激勵相關(guān)資料分享

(60)Verilog HDL測試激勵:復位激勵11.1 目錄1)目錄2)FPGA簡介3)Verilog HDL簡介4)Verilog HDL測試激勵:復位激勵15)結(jié)語1.2 FPGA簡介FPGA
2022-02-23 06:42:51

Verilog HDL測試激勵之復位激勵簡介

(77)Verilog HDL測試激勵:復位激勵31.1 目錄1)目錄2)FPGA簡介3)Verilog HDL簡介4)Verilog HDL測試激勵:復位激勵35)結(jié)語1.2 FPGA簡介FPGA
2022-02-23 07:48:24

Verilog HDL測試激勵之時鐘激勵

(59)Verilog HDL測試激勵:時鐘激勵11.1 目錄1)目錄2)FPGA簡介3)Verilog HDL簡介4)Verilog HDL測試激勵:時鐘激勵15)結(jié)語1.2 FPGA簡介FPGA
2022-02-23 06:57:59

Verilog HDL的時鐘激勵簡析

(69)Verilog HDL測試激勵:時鐘激勵21.1 目錄1)目錄2)FPGA簡介3)Verilog HDL簡介4)Verilog HDL測試激勵:時鐘激勵25)結(jié)語1.2 FPGA簡介FPGA
2022-02-23 07:31:44

Verilog HDL相關(guān)應用程序設(shè)計實例精講和經(jīng)典黑金資料(入門教程+實例精講+百例設(shè)計)

Verilog HDL應用程序設(shè)計實例精講v經(jīng)典黑金資料(入門教程+實例精講+百例設(shè)計)
2019-03-26 14:45:07

Verilog HDL硬件描述語言(非常經(jīng)典的教材)

Verilog HDL硬件描述語言(非常經(jīng)典的教材)FPGA軟件無線電開發(fā)(全階視頻教程+開發(fā)板+實例)詳情鏈接:http://url.elecfans.com/u/5e4a12f2ba
2013-07-22 14:50:03

Verilog HDL程序設(shè)計與實踐

本帖最后由 minjienuaa 于 2013-3-29 22:44 編輯 Verilog HDL程序設(shè)計與實踐,大家學習FPGA的同學可以下載看看學習學習 很有作用哦
2013-03-29 22:41:31

Verilog HDL經(jīng)典黑金資料(入門教程+實例精講+百例設(shè)計)

共包括典型電路和常用電路兩個章節(jié)。如果是初學者建議從常用電路開始學起。《設(shè)計與驗證》以實例講解的方式對HDL語言的設(shè)計方法進行介紹。全書共分9章,第1章至第3章主要介紹了Verilog HDL語言
2018-12-10 15:31:15

Verilog HDL設(shè)計與實戰(zhàn).劉福奇,PDF電子書+配套源碼資料大全

`共分為四部分,Verilog HDL語言、FPGA設(shè)計實例、ModelSim仿真工具及QuartusII開發(fā)工具。Verilog HDL設(shè)計與實戰(zhàn).PDF版電子書回復帖子查看下載資料[hide][/hide]Verilog HDL設(shè)計與實戰(zhàn)配套代碼回復帖子查看下載資料[hide][/hide]`
2021-06-16 10:50:55

Verilog HDL詳細資料合集!

本合集資料包括:1.Verilog HDL程序設(shè)計實例詳解2.Verilog HDL經(jīng)典教程3.Verilog HDL實驗練習與語法手冊4.Verilog HDL硬件描述語言
2020-08-21 10:06:20

Verilog HDL語言有什么優(yōu)越性

Verilog HDL語言有什么優(yōu)越性Verilog HDL語言在FPGA/CPLD開發(fā)中的應用
2021-04-23 07:02:03

Verilog HDL語言編程基礎(chǔ)與FPGA常用開發(fā)工具

關(guān)鍵字:Altera 、FPGA、軟硬件協(xié)調(diào)設(shè)計(Verilog & C)、CPU、總線、外設(shè)FPGA硬件結(jié)構(gòu)知識Verilog HDL語言編程基礎(chǔ)FPGA常用開發(fā)工具 SOPC硬件系統(tǒng)開發(fā)SOPC軟件系統(tǒng)開發(fā)Avalon總線規(guī)范Nios II外設(shè)及其編程 七段數(shù)碼管時鐘...
2021-12-22 08:06:06

Verilog_HDL硬件描述語言

Verilog_HDL硬件描述語言 FPGA的資料
2013-02-26 14:03:42

[下載]cpld\fpga\verilog hdl視頻教程

  cpld\fpga\verilog hdl視頻教程入門篇:第1講、FPGA設(shè)計基礎(chǔ)(PDF、視頻)第2講、FPGA設(shè)計入門(視頻、課后習題)第3講、VerilogHDL
2009-03-26 16:37:40

[推薦]cpld\fpga\verilog hdl視頻教程

教程目錄: 入門篇:第1講、FPGA設(shè)計基礎(chǔ)(PDF、視頻)第2講、FPGA設(shè)計入門(視頻、課后習題)第3講、VerilogHDL基礎(chǔ)(PDF、視頻、課后習題)第4講:Verilog HDL中的組合
2009-03-09 22:56:25

FPGA應用開發(fā)入門與典型實例》掃描版[PDF]

本帖最后由 普萊斯隊長 于 2013-1-3 12:33 編輯 文件太大,內(nèi)容介紹:本書全面講解了FPGA系統(tǒng)設(shè)計的背景知識、硬件電路設(shè)計,硬件描述語言Verilog HDL的基本語法和常用語
2012-12-28 18:38:42

FPGA學習】Verilog HDL有哪些特點

層次設(shè)計,可使用模塊實例結(jié)構(gòu)描述任何層次;? 設(shè)計的規(guī)模可以是任意的,語言不對設(shè)計的規(guī)模大小施加任何限制;? Verilog HDL 不再是某些公司的專有語言而是 IEEE 標準;? Verilog
2018-09-18 09:33:31

【特權(quán)同學推薦】Verilog HDL語法學習教程及135個設(shè)計實例

Verilog HDL建模。FPGA軟件無線電開發(fā)(全階視頻教程+開發(fā)板+實例)詳情鏈接:http://url.elecfans.com/u/5e4a12f2ba手把手教你設(shè)計人工智能芯片及系統(tǒng)(全階
2019-11-26 11:27:34

如何對Verilog HDL的復位激勵進行測試

(70)Verilog HDL測試激勵:復位激勵21.1 目錄1)目錄2)FPGA簡介3)Verilog HDL簡介4)Verilog HDL測試激勵:復位激勵25)結(jié)語1.2 FPGA簡介FPGA
2022-02-23 06:29:31

如何用VHDL、Verilog HDL實現(xiàn)設(shè)計輸入?

如何在ALTERA公司的Quartus II環(huán)境下用VHDL、Verilog HDL實現(xiàn)設(shè)計輸入,采用同步時鐘,成功編譯、綜合、適配和仿真,并下載到Stratix系列FPGA芯片EP1S25F780C5中。
2021-04-15 06:19:38

淺談Verilog HDL測試激勵之時鐘激勵

(76)Verilog HDL測試激勵:時鐘激勵31.1 目錄1)目錄2)FPGA簡介3)Verilog HDL簡介4)Verilog HDL測試激勵:時鐘激勵35)結(jié)語1.2 FPGA簡介FPGA
2022-02-23 07:33:53

設(shè)計與驗證Verilog HDL FPGA設(shè)計與驗證的好書

本帖最后由 eehome 于 2013-1-5 10:01 編輯 EDA先鋒工作室的精品書籍,國內(nèi)少有的系統(tǒng)講述FPGA設(shè)計和驗證的好書,特別是驗證部分很精華,現(xiàn)在和大家分享,同時附上本書的實例源代碼和Verilog HDL語法國際標準。
2011-08-02 14:54:41

Verilog HDL Synthesis (A Pract

Verilog HDL Synthesis (A Practical Primer)
2009-02-12 09:36:2440

Verilog HDL綜合實用教程

Verilog HDL 綜合實用教程第1章 基礎(chǔ)知識第2章 從Verilog結(jié)構(gòu)到邏輯門第3章 建模示例第4章 模型的優(yōu)化第5章 驗證附錄A 可綜合的語言結(jié)構(gòu)附錄B 通用庫
2009-07-20 11:21:1386

FPGA的編譯之二、Verilog HDL語言的FPGA快速

This manual describes the Verilog portion of Synopsys FPGACompiler II / FPGA Express application
2009-07-23 10:01:2979

基于Verilog HDL語言的FPGA設(shè)計

采用 Verilog HDL 語言在Altera 公司的FPGA 芯片上實現(xiàn)了RISC_CPU 的關(guān)鍵部件狀態(tài)控制器的設(shè)計,以及在與其它各種數(shù)字邏輯設(shè)計方法的比較下,顯示出使用Verilog HDL語言的優(yōu)越性.關(guān)鍵詞
2009-08-21 10:50:0569

Verilog HDL實現(xiàn)I2C總線功能

簡述了I2C總線的特點;介紹了開發(fā)FPGA時I2C總線模塊的設(shè)計思想;給出并解釋了用Verilog HDL實現(xiàn)部分I2C總線功能的程序,以及I2C總線主從模式下的仿真時序圖。
2009-10-19 10:49:16104

Verilog-HDL實踐與應用系統(tǒng)設(shè)計

Verilog-HDL實踐與應用系統(tǒng)設(shè)計本書從實用的角度介紹了硬件描述語言Verilog-HDL。通過動手實踐,體驗Verilog-HDL的語法結(jié)構(gòu)、功能等內(nèi)涵。在前五章,以簡單的實例列舉了Verilog-HDL的用法;
2009-11-14 22:57:40146

Verilog hdl教程實例

Verilog hdl教程實例 【例 3.2】4 位計數(shù)器module count4(out,reset,clk);output[3:0] out;input reset,clk;reg
2010-02-09 09:41:0154

Verilog HDL華為入門教程

Verilog HDL 華為入門教程 本文主要介紹了Verilog HDL 語言的一些基本知識,目的是使初學者能夠迅速掌握HDL設(shè)計方法,初步了解并掌握Verilog HDL語言的基本要素,能
2010-02-11 08:35:38140

Verilog HDL入門教程(華為絕密資料)

Verilog HDL入門教程(華為絕密資料) 本文主要介紹了Verilog HDL 語言的一些基本知識,目的是使初學者能夠迅速掌握HDL設(shè)計方法,初步了解并掌握Verilog HDL語言的
2010-04-02 11:52:210

Verilog HDL練習題

Verilog HDL練習題
2010-11-03 16:47:13193

什么是Verilog HDL?

什么是Verilog HDL? Verilog HDL是一種硬件描述語言,用于從算法級、門級到開關(guān)級的多種抽象設(shè)計層次的數(shù)字系統(tǒng)建模。被建模的數(shù)字系統(tǒng)
2009-01-18 14:53:263678

Verilog HDL語言在FPGA/CPLD開發(fā)中的應用

摘 要:通過設(shè)計實例詳細介紹了用Verilog HDL語言開發(fā)FPGA/CPLD的方法,并通過與其他各種輸入方式的比較,顯示出使用Verilog HDL語言的優(yōu)越性。
2009-06-20 11:51:281857

Verilog HDL程序基本結(jié)構(gòu)與程序入門

Verilog HDL程序基本結(jié)構(gòu)與程序入門 Verilog HDL程序基本結(jié)構(gòu)  Verilog HDL是一種用于數(shù)字邏輯電路設(shè)計的語言。用Verilog HDL描述的
2010-02-08 11:43:302185

Verilog HDL語言簡介

Verilog HDL語言簡介 1.什么是Verilog HDLVerilog HDL是硬件描述語言的一種,用于數(shù)
2010-02-09 08:59:333609

VHDL和Verilog HDL語言對比

VHDL和Verilog HDL語言對比 Verilog HDL和VHDL都是用于邏輯設(shè)計的硬件描述語言,并且都已成為IEEE標準。VHDL是在1987年成為IEEE標準,Verilog HDL
2010-02-09 09:01:1710317

Verilog HDL與VHDL及FPGA的比較分析

Verilog HDL與VHDL及FPGA的比較分析. Verilog HDL優(yōu)點:類似C語言,上手容易,靈活。大小寫敏感。在寫激勵和建模方面有優(yōu)勢。
2011-01-11 10:45:291182

PLD/FPGA硬件語言設(shè)計verilog HDL

在我國使用Verilog HDL的公司比使用VHDL的公司多。從EDA技術(shù)的發(fā)展上看,已出現(xiàn)用于CPLD/FPGA設(shè)計的硬件C語言編譯軟件,雖然還不成熟,應用極少,但它有可能會成為繼VHDL和Verilog之后,設(shè)計大規(guī)模CPLD/FPGA的又一種手段。
2011-03-12 11:21:201686

Verilog HDL程序設(shè)計教程_王金明

Verilog HDL 程序設(shè)計教程》對Verilog HDL程序設(shè)計作了系統(tǒng)全面的介紹,以可綜合的設(shè)計為重點,同時對仿真和模擬也作了深入的闡述。《Verilog HDL 程序設(shè)計教程》以Verilog-1995標準為基礎(chǔ)
2011-09-22 15:53:360

應用Verilog HDL進行數(shù)字系統(tǒng)設(shè)計實例

本內(nèi)容介紹了應用Verilog HDL進行數(shù)字系統(tǒng)設(shè)計實例
2011-09-27 16:30:2987

Verilog_HDL的基本語法詳解(夏宇聞版)

Verilog_HDL的基本語法詳解(夏宇聞版):Verilog HDL是一種用于數(shù)字邏輯電路設(shè)計的語言。用Verilog HDL描述的電路設(shè)計就是該電路的Verilog HDL模型。Verilog HDL既是一種行為描述的語言也是一種結(jié)
2012-10-08 14:48:310

設(shè)計與驗證Verilog HDL(吳繼華)

本書以實例講解的方式對HDL語言的設(shè)計方法進行介紹。全書共分9章,第1章至第3章主要介紹了Verilog HDL語言的基本概念、設(shè)計流程、語法及建模方式等。
2012-11-28 13:32:57943

Verilog HDL 數(shù)字設(shè)計教程(賀敬凱)

Verilog HDL 數(shù)字設(shè)計教程【作者:賀敬凱;出版社:西安電子科技大學出版社】(本資料為ppt) 內(nèi)容簡介:介紹了Verilog HDL語言,狀態(tài)機設(shè)計,仿真,還有好幾個可綜合設(shè)計的舉例,除了
2012-11-28 13:43:11489

Verilog HDL程序設(shè)計與實踐

Verilog HDL程序設(shè)計與實踐著重介紹了Verilog HDL語言
2015-10-29 14:45:4721

Verilog HDL硬件描述語言_結(jié)構(gòu)建模

本章講述Verilog HDL中的結(jié)構(gòu)建模方式。結(jié)構(gòu)建模方式用以下三種實例語句描述,verilog相關(guān)教程材料,有興趣的同學可以下載學習。
2016-04-25 14:58:2014

Verilog HDL硬件描述語言_用戶定義的原語

本章講述Verilog HDL指定用戶定義原語UDP的能力。UDP的實例語句與基本門的實例語句完全相同,即U D P實例語句的語法與基本門的實例語句語法一致。verilog相關(guān)教程材料,有興趣的同學可以下載學習。
2016-04-25 16:09:3212

Verilog HDL硬件描述語言_建模實例

本章給出了一些用Verilog HDL編寫的硬件建模實例。verilog相關(guān)教程材料,有興趣的同學可以下載學習
2016-04-25 16:09:3225

Verilog HDL程序設(shè)計教程

Verilog HDL程序設(shè)計教程-人郵
2016-05-11 11:30:1934

Verilog_HDL教程

Verilog_HDL教程,又需要的朋友下來看看
2016-05-11 17:30:150

Verilog+HDL實用教程-電科

Verilog+HDL實用教程-電科,下來看看。
2016-05-11 17:30:1534

_Verilog_HDL的基本語法

Verilog_HDL語言的學習,為FPGA編程打下堅實的基礎(chǔ)
2016-05-19 16:40:5212

Verilog HDL應用程序設(shè)計實例精講

Verilog HDL應用程序設(shè)計實例精講。
2016-05-20 11:16:3590

Verilog HDL應用程序設(shè)計實例精講

Verilog HDL應用程序設(shè)計實例精講
2016-05-20 11:16:35284

Verilog HDL 華為入門教程

Verilog HDL 華為入門教程
2016-06-03 16:57:5345

Verilog HDL入門教程

本文主要介紹了Verilog HDL 語言的一些基本知識,目的是使初學者能夠迅速掌握HDL設(shè)計方法,初步了解并掌握Verilog HDL語言的基本要素,能夠讀懂簡單的設(shè)計代碼并能夠進行一些簡單設(shè)計的Verilog HDL建模。
2016-07-15 15:27:000

Verilog HDL數(shù)字設(shè)計與綜合_夏宇聞譯(第二版)

verilog HDL,fpga,硬件電路學習資料
2016-09-01 14:55:490

設(shè)計與驗證:Verilog HDL(清晰PDF)

設(shè)計與驗證,很不錯的一本書,《設(shè)計與驗證》以實例講解的方式對HDL語言的設(shè)計方法進行介紹。全書共分9章,第1章至第3章主要介紹了Verilog HDL語言的基本概念、設(shè)計流程、語法及建模方式等內(nèi)容
2016-10-10 17:04:40566

Verilog HDL設(shè)計(提高)

Verilog HDL設(shè)計(提高),感興趣的小伙伴們可以瞧一瞧。
2016-11-10 15:29:3615

基于FPGA Verilog-HDL語言的串口設(shè)計

基于FPGA Verilog-HDL語言的串口設(shè)計
2017-02-16 00:08:5935

Verilog HDL入門教程之Verilog HDL數(shù)字系統(tǒng)設(shè)計教程

本文檔的主要內(nèi)容詳細介紹的是Verilog HDL入門教程之Verilog HDL數(shù)字系統(tǒng)設(shè)計教程。
2018-09-20 15:51:2680

如何設(shè)計常用模塊的Verilog HDL?

本文檔的主要內(nèi)容詳細介紹的是常用模塊的Verilog HDL設(shè)計詳細資料免費下載。
2018-10-16 11:12:5420

Verilog HDL入門教程

本文主要介紹了Verilog HDL 語言的一些基本知識,目的是使初學者能夠迅速掌握HDL 設(shè)計方法,初步了解并掌握Verilog HDL語言的基本要素,能夠讀懂簡單的設(shè)計代碼并能夠進行一些簡單設(shè)計的Verilog HDL建模。
2019-02-11 08:00:0095

Verilog HDL作為現(xiàn)在最流行的FPGA開發(fā)語言 是入門的基礎(chǔ)

Verilog HDL作為現(xiàn)在最流行的FPGA開發(fā)語言,當然是入門基礎(chǔ)。
2019-02-18 14:47:0010320

FPGA視頻教程之Verilog基礎(chǔ)的詳細資料說明

本文檔的主要內(nèi)容詳細介紹的是FPGA視頻教程之Verilog基礎(chǔ)的詳細資料說明包括了:1.Verilog HDL簡介,2.Verilog HDL模型的基本結(jié)構(gòu),3.Verilog HDL模塊的組成
2019-03-21 15:02:4937

Verilog HDL語言及VIVADO的應用

中國大學MOOC 本課程以目前流行的Xilinx 7系列FPGA的開發(fā)為主線,全面講解FPGA的原理及電路設(shè)計、Verilog HDL語言及VIVADO的應用,并循序漸進地從組合邏輯、時序邏輯的開發(fā)開始,深入到FPGA的基礎(chǔ)應用、綜合應用和進階應用。
2019-08-06 06:12:003450

數(shù)字設(shè)計FPGA應用:Verilog HDL語言基本結(jié)構(gòu)

本課程以目前流行的Xilinx 7系列FPGA的開發(fā)為主線,全面講解FPGA的原理及電路設(shè)計、Verilog HDL語言及VIVADO的應用,并循序漸進地從組合邏輯、時序邏輯的開發(fā)開始,深入到FPGA的基礎(chǔ)應用、綜合應用和進階應用。
2019-12-02 07:10:002914

Verilog HDL的基礎(chǔ)知識詳細說明

硬件描述語言基本語法和實踐 (1)VHDL 和Verilog HDL的各自特點和應用范圍 (2)Verilog HDL基本結(jié)構(gòu)語言要素與語法規(guī)則 (3) Verilog HDL組合邏輯語句結(jié)構(gòu)
2019-07-03 17:36:0053

Verilog HDL語言技術(shù)要點

的是硬件描述語言。最為流行的硬件描述語言有兩種Verilog HDL/VHDL,均為IEEE標準。Verilog HDL具有C語言基礎(chǔ)就很容易上手,而VHDL語言則需要Ada編程基礎(chǔ)。另外Verilog
2020-09-01 11:47:094002

使用FPGA設(shè)計的2個實例詳細說明

本文檔的主要內(nèi)容詳細介紹的是使用FPGA設(shè)計的2個實例詳細說明包括了:Verilog HDL 設(shè)計練習一. 簡單的組合邏輯設(shè)計,練習二. 簡單時序邏輯電路的設(shè)計
2020-09-23 16:48:0011

Verilog HDL指定用戶定義原語UDP的能力介紹

用戶定義的原語 在前一章中,我們介紹了Verilog HDL提供的內(nèi)置基本門。本章講述Verilog HDL指定用戶定義原語U D P的能力。 U D P的實例語句與基本門的實例語句完全相同
2021-03-05 15:30:361426

Verilog HDL的禮物-Verilog HDL掃盲文下載

很多進入FPGA世界不久得朋友,第一個要學習當然是HDL語言,在網(wǎng)上流行的有Verilog和VDL這兩個HDL語言。如果讀者是 VDL HDL語言的愛好者,那么讀者以立即把這本筆記關(guān)了。在筆者的眼中
2021-04-30 09:24:3225

Verilog HDL基礎(chǔ)語法入門

簡單介紹Verilog HDL語言和仿真工具。
2021-05-06 16:17:10617

Verilog HDL verilog hdl和vhdl的區(qū)別

Verilog HDL是一種以文本形式描述數(shù)字系統(tǒng)硬件的結(jié)構(gòu)和行為的硬件描述語言,也可描述邏輯電路圖、邏輯表達式等。Verilog HDL和VHDL是目前主流的、最受歡迎的兩種硬件描述語言。
2021-07-23 14:36:559911

Verilog HDL入門教程.pdf

Verilog HDL入門教程.pdf
2021-11-02 16:27:14108

(70)Verilog HDL測試激勵:復位激勵2

(70)Verilog HDL測試激勵:復位激勵21.1 目錄1)目錄2)FPGA簡介3)Verilog HDL簡介4)Verilog HDL測試激勵:復位激勵25)結(jié)語1.2 FPGA簡介FPGA
2021-12-29 19:42:091

(69)Verilog HDL測試激勵:時鐘激勵2

(69)Verilog HDL測試激勵:時鐘激勵21.1 目錄1)目錄2)FPGA簡介3)Verilog HDL簡介4)Verilog HDL測試激勵:時鐘激勵25)結(jié)語1.2 FPGA簡介FPGA
2021-12-29 19:42:190

(59)Verilog HDL測試激勵:時鐘激勵1

(59)Verilog HDL測試激勵:時鐘激勵11.1 目錄1)目錄2)FPGA簡介3)Verilog HDL簡介4)Verilog HDL測試激勵:時鐘激勵15)結(jié)語1.2 FPGA簡介FPGA
2021-12-29 19:42:290

(77)Verilog HDL測試激勵:復位激勵3

(77)Verilog HDL測試激勵:復位激勵31.1 目錄1)目錄2)FPGA簡介3)Verilog HDL簡介4)Verilog HDL測試激勵:復位激勵35)結(jié)語1.2 FPGA簡介FPGA
2021-12-29 19:42:390

(60)Verilog HDL測試激勵:復位激勵1

(60)Verilog HDL測試激勵:復位激勵11.1 目錄1)目錄2)FPGA簡介3)Verilog HDL簡介4)Verilog HDL測試激勵:復位激勵15)結(jié)語1.2 FPGA簡介FPGA
2021-12-29 19:42:492

(76)Verilog HDL測試激勵:時鐘激勵3

(76)Verilog HDL測試激勵:時鐘激勵31.1 目錄1)目錄2)FPGA簡介3)Verilog HDL簡介4)Verilog HDL測試激勵:時鐘激勵35)結(jié)語1.2 FPGA簡介FPGA
2021-12-29 19:43:002

Verilog HDL入門教程-Verilog HDL的基本語法

Verilog HDL入門教程-Verilog HDL的基本語法
2022-01-07 09:23:42159

SALELF 2系列FPGA HDL設(shè)計庫指南

電子發(fā)燒友網(wǎng)站提供《SALELF 2系列FPGA HDL設(shè)計庫指南.pdf》資料免費下載
2022-09-26 15:10:400

二十進制編碼器及Verilog HDL描述 Verilog HDL程序的基本結(jié)構(gòu)及特點

節(jié)通過硬件描述語言Verilog HDL對二十進制編碼器的描述,介紹Verilog HDL程序的基本結(jié)構(gòu)及特點。
2023-08-28 09:54:341116

已全部加載完成