TLC7524接口電路程序
--文件名:TLC7524.VHD
--功能:產(chǎn)生156.25KHz的正弦波。
--最后修改日期:2004.3.18。
library ieee;
use ieee.std_logic_arith.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity TLC7524 is
? port( clk??? :in std_logic;????????????????????????????????????? --系統(tǒng)時(shí)鐘
?????? rst??? :in std_logic;????????????????????????????????????? --復(fù)位信號(hào)
????? data_out:out std_logic_vector(7 downto 0));??????????????????? --波形數(shù)據(jù)
? end TLC7524;??????
architecture behav of TLC7524 is
signal b:integer range 0 to 63;??????????????????????????????????? --地址計(jì)數(shù)器
signal q:integer range 0 to 4;???????????????????????????????????? --計(jì)數(shù)器
signal d:integer range 0 to 255;?????????????????????????????????? --波形數(shù)據(jù)寄存器
begin
process(clk)????????????? --此進(jìn)程通過對(duì)系統(tǒng)時(shí)鐘的分頻,完成的地址計(jì)數(shù)器的循環(huán)計(jì)數(shù)
begin
if rst='1' then b<=0;????????????????????????????????????? --復(fù)位時(shí),對(duì)地址寄存器清零??
elsif clk'event and clk='1' then
?? if q=4 then q<=0;???????????????????????????? --此IF語(yǔ)句完成對(duì)系統(tǒng)時(shí)鐘的5分頻
????? if b=63 then b<=0;????????????????????????? --此IF語(yǔ)句完成對(duì)地址的循環(huán)計(jì)數(shù)
????? else b<=b+1;
????? end if;
?? else q<=q+1;
?? end if;
end if;
end process;
process(b)????????????????????????????? --此進(jìn)程存儲(chǔ)了正弦波64個(gè)采樣點(diǎn)的波形數(shù)據(jù)
begin
case b is
when 00=> d<=255? ; when 01=> d<=254? ;when 02=> d<=252? ;when 03=> d<=249? ;
when 04=> d<=245? ; when 05=> d<=239? ;when 06=> d<=233? ;when 07=> d<=225? ;
when 08=> d<=217? ; when 09=> d<=207? ;when 10=> d<=197? ;when 11=> d<=186? ;
when 12=> d<=174? ; when 13=> d<=162? ;when 14=> d<=150? ;when 15=> d<=137? ;
when 16=> d<=124? ; when 17=> d<=112? ;when 18=> d<= 99? ;when 19=> d<= 87? ;
when 20=> d<= 75? ; when 21=> d<= 64? ;when 22=> d<= 53? ;when 23=> d<= 43? ;
when 24=> d<= 34? ; when 25=> d<= 26? ;when 26=> d<= 19? ;when 27=> d<= 13? ;
when 28=> d<=? 8? ; when 29=> d<=? 4? ;when 30=> d<=? 1? ;when 31=> d<=? 0? ;
when 32=> d<=? 0? ; when 33=> d<=? 1? ;when 34=> d<=? 4? ;when 35=> d<=? 8? ;
when 36=> d<= 13? ; when 37=> d<= 19? ;when 38=> d<= 26? ;when 39=> d<= 34? ;
when 40=> d<= 43? ; when 41=> d<= 53? ;when 42=> d<= 64? ;when 43=> d<= 75? ;
when 44=> d<= 87? ; when 45=> d<= 99? ;when 46=> d<=112? ;when 47=> d<=124? ;
when 48=> d<=137? ; when 49=> d<=150? ;when 50=> d<=162? ;when 51=> d<=174? ;
when 52=> d<=186? ; when 53=> d<=197? ;when 54=> d<=207? ;when 55=> d<=217? ;
when 56=> d<=225? ; when 57=> d<=233? ;when 58=> d<=239? ;when 59=> d<=245? ;
when 60=> d<=249? ; when 61=> d<=252? ;when 62=> d<=254? ;when 63=> d<=255? ;
when others=> null;
end case;
end process;
data_out<=conv_std_logic_vector(d,8);?????????????????????? --正弦波波形數(shù)據(jù)輸出
end behav;
TLC7524接口電路程序
- 接口電路(56780)
- TLC7524(7703)
相關(guān)推薦
tlc549操作時(shí)序圖電路圖_TLC549波形圖_tlc549實(shí)驗(yàn)案例
本文有對(duì)tlc549操作應(yīng)用的詳細(xì)介紹,能助工程師開發(fā)應(yīng)用中給予啟發(fā),并且包含實(shí)驗(yàn)電路圖及波形圖等。
2017-11-22 18:39:294982
TLC2272和TLC2274運(yùn)算放大器介紹
率:3.6 V/μs典型值低輸入偏移電壓:最大950μVTA=25°C包括宏觀模型TLC272和TLC274型Q-Temp Automotive提供2個(gè)應(yīng)用程序白色商品(冰箱、洗衣機(jī))手持監(jiān)控系統(tǒng)配置
2020-10-15 17:48:30
TLC320AC01與DSP硬件設(shè)計(jì)方法和軟件編程
地實(shí)現(xiàn)這些轉(zhuǎn)換,而這必然涉及到接口電路的設(shè)計(jì)。為此,本文將介紹一種在單片內(nèi)集成有ADC通道和DAC通道的模擬接口電路TLC320AC01與TMS320VC5402緩沖串口進(jìn)行接口的設(shè)計(jì)方法,同時(shí)給出
2019-05-27 05:00:04
TLC549AD仿真求助
求大神查看我的仿真圖是否正確,為什么總顯示亂碼。我搜了好多沒看到關(guān)于TLC549 的仿真圖!附程序: #include//定義顯示數(shù)組d[4]以及一個(gè)變量n用于動(dòng)態(tài)顯示unsigned char d
2011-12-25 21:31:29
TLC549的仿真與邏輯代碼問題
基于TLC549的電壓表 (測(cè)試程序)用TLC549ADC和ZLG7290設(shè)計(jì)一個(gè)簡(jiǎn)易數(shù)字電壓表對(duì)特權(quán)FPGA控制ADC TLC549邏輯代碼疑問TLC549AD仿真求助關(guān)于AD芯片TLC549的一個(gè)小問題
2018-08-29 17:08:15
TLC5540的是什么?TLC5540有哪些應(yīng)用?
TLC5540的是什么?TLC5540的引腳功能有哪些?TLC5540的內(nèi)部結(jié)構(gòu)與運(yùn)行時(shí)序是怎樣的?TLC5540有哪些應(yīng)用?
2021-04-21 07:09:50
TLC5620型DAC驅(qū)動(dòng)設(shè)計(jì)
三、TLC5620型DAC驅(qū)動(dòng)設(shè)計(jì)TLC5620型DAC芯片概述: lTLC5620C是一個(gè)具有4個(gè)獨(dú)立8位電壓輸出型DAC的數(shù)模轉(zhuǎn)換器l單電源5V供電l采用串行接口時(shí)序l具備4個(gè)高阻抗參考電壓輸入
2019-01-29 03:12:43
TLC7226MFKB 產(chǎn)品說明
?工藝制造,該工藝經(jīng)過專門開發(fā),可將高速數(shù)字邏輯電路和精密模擬電路集成在同一芯片上。TLC7226具有帶單獨(dú)DAC鎖存器的公共8位數(shù)據(jù)總線。這為通用的微處理器接口提供了通用的控制架構(gòu)。所有鎖存使能信號(hào)都是
2021-01-11 09:00:25
C8051F與DA芯片TLC7524共同實(shí)現(xiàn)模擬信號(hào)輸出
的P7.0~7.7相連接,TLC7524是8位DA,轉(zhuǎn)換速率10M,其它供電及輸出,反饋引腳都整好。想最好的還原出原始信號(hào),設(shè)定數(shù)據(jù)輸出為0.1微秒輸出一個(gè)點(diǎn),在程序這一方面該怎么設(shè)計(jì)編寫?大神們
2014-09-12 22:38:00
CAN下載完程序后,是否還需要改變硬件電路程序才能正常工作啊?
您好,請(qǐng)問一下,我想通過CodeSkin提供的C2prog通過CAN給TMS320F28035燒寫程序,在使用CAN硬件引導(dǎo)下載完程序過后,在執(zhí)行程序時(shí),是否還需要將引進(jìn)改為Flash引導(dǎo)啊?我的意思就是在CAN下載完程序后,是否還需要改變硬件電路程序才能正常工作啊?????????????求救
2020-06-02 11:48:48
FPGA 編程開發(fā)實(shí)例
;ADC0809 VHDL控制程序;TLC5510 VHDL控制程序;DAC0832 接口電路程序;TLC7524接口電路程序; 
2008-06-27 10:41:44
STC15單片機(jī)控制TLC2543AD轉(zhuǎn)換芯片的程序
TLC2543是一款支持SPI通信協(xié)議的ADC,傳統(tǒng)的51單片機(jī)不支持SPI接口.STC15系列的單片機(jī)支持硬件SPI。附件是AD轉(zhuǎn)換串口顯示的程序。
2016-06-30 10:13:54
STM32模擬SPI接口程序
因?yàn)樾枰獟?個(gè)TLC2543,內(nèi)部的2個(gè)SPI已用完,開始著手模擬SPI接口,但始終電壓顯示不正常,求各位大俠支招。/***************宏定義
2016-07-20 15:21:46
fpga很有價(jià)值的27教程
5510 VHDL控制程序;DAC0832 接口電路程序;TLC7524接口電路程序; URAT VHDL程序與仿真; ASK調(diào)制與解調(diào)VHDL程序及仿真;FSK調(diào)制與解調(diào)VHDL程序及仿真;PSK調(diào)制與解調(diào)
2008-05-20 09:51:33
串行數(shù)模轉(zhuǎn)換器TLC5620I與TMS320F2812接口設(shè)計(jì)
5620I和TMS320F2812的接口電路圖2為TLC5620I和TMS320F2812的接口電路。該設(shè)計(jì)中,引出4路D/A轉(zhuǎn)換通道電壓,均由LM358構(gòu)成電壓跟隨器輸出,如圖3所示。該圖為AD0和AD1口由
2018-12-06 10:20:40
基于TLC549工作時(shí)序編程技術(shù)研究
件的接口電路圖,提出基于器件工作時(shí)序進(jìn)行匯編程序設(shè)計(jì)的基本技巧?!娟P(guān)鍵詞】:?jiǎn)纹瑱C(jī);;匯編語(yǔ)言;;串行A/D轉(zhuǎn)換;;TCL;;工作時(shí)序;;接口電路;;編程技巧【DOI】:CNKI:SUN
2010-04-24 10:00:00
基于C語(yǔ)言的89C51與TLC2543AD轉(zhuǎn)換器的驅(qū)動(dòng)程序設(shè)計(jì)文件下載
測(cè)量值,它使用開關(guān)電容逐次逼近技術(shù)完成A/D轉(zhuǎn)換過程由于是串行輸入結(jié)構(gòu),能夠節(jié)省51系列單片機(jī)的I/O資源。硬件設(shè)計(jì)的主要任務(wù)是TLC2543和單片機(jī)的接口電路設(shè)計(jì),輸入信號(hào)的調(diào)理電路設(shè)計(jì)。軟件部分主要
2018-07-19 02:58:10
如何去實(shí)現(xiàn)TMS320VC5402與TLC320AD50C的接口設(shè)計(jì)?
如何去實(shí)現(xiàn)TMS320VC5402與TLC320AD50C的接口設(shè)計(jì)?
2021-06-04 06:01:10
如何去實(shí)現(xiàn)一種基于Proteus的TLC5615芯片電路設(shè)計(jì)
如何去實(shí)現(xiàn)一種基于Proteus的TLC5615芯片電路設(shè)計(jì)?其程序是怎樣的?
2021-10-21 09:03:07
怎樣設(shè)計(jì)矩陣開關(guān)電路程序
怎樣設(shè)計(jì)4*4矩陣開關(guān)電路程序:要求15個(gè)開關(guān)對(duì)應(yīng)15個(gè)LED指示燈,1個(gè)按鈕;當(dāng)任意一個(gè)開關(guān)閉合時(shí),對(duì)應(yīng)指示燈閃爍,按下按鈕,LED停止閃爍,保持常亮(當(dāng)對(duì)應(yīng)開關(guān)打開時(shí),熄滅);當(dāng)其他任意開關(guān)閉合時(shí),重復(fù)以上過程。
2018-08-22 13:33:29
數(shù)碼管的驅(qū)動(dòng)程序是數(shù)碼管掃描電路程序么?
做課設(shè)《基于EDA的數(shù)字頻率計(jì)的設(shè)計(jì)與實(shí)現(xiàn)》,現(xiàn)在遇到的問題是數(shù)碼管模塊的驅(qū)動(dòng)程序怎么寫,在網(wǎng)上找的都是c語(yǔ)言的,但是我需要用VHDL,所以想問問大家,這個(gè)數(shù)碼管驅(qū)動(dòng)程序是不是數(shù)碼管掃描電路程序?
2013-04-22 14:34:44
求助tlc5510電路圖
求教各位用過tlc5510的,我的電路直接在輸出口3-10引腳接發(fā)光二極管,按道理輸出應(yīng)該是5V或者0V,但是我的輸出電壓竟然有中間值,2.7V,1.2V等等,有誰(shuí)的成功用過TLC5510的求個(gè)原理圖,萬分感謝
2017-01-17 19:56:58
求助FPGA驅(qū)動(dòng)TLC5615的程序
我買了個(gè)開發(fā)板,他配套的驅(qū)動(dòng)程序貌似不能用。然后網(wǎng)上找了個(gè)驅(qū)動(dòng)程序能用,但是很難改成一個(gè)模塊來調(diào)用。然后我自己看時(shí)序圖寫驅(qū)動(dòng)程序,明明很簡(jiǎn)單的時(shí)序,但運(yùn)行起來就是不行。只好來這里求助了。。哪位用過FPGA驅(qū)動(dòng)過tlc5615 的嗎?
2013-08-09 12:05:15
求大神指點(diǎn)基于dsp的spwm三相逆變電路程序設(shè)計(jì),dsp開發(fā)板自帶的spwm程序好像有問題
求大神指點(diǎn)基于dsp的spwm三相逆變電路程序設(shè)計(jì),dsp開發(fā)板自帶的spwm程序好像有問題
2016-07-15 16:39:53
經(jīng)典FPGA課件 包括altera和xilinx
TLC5510接口電路及程序設(shè)計(jì).ppt8.6 DAC0832接口電路及程序設(shè)計(jì).ppt8.7 TLC7524接口電路及程序設(shè)計(jì).ppt8.8 FPGA通用異步收發(fā)器.ppt8.9二進(jìn)制振幅鍵控(ASK
2012-12-06 16:10:55
請(qǐng)問TLC1543怎么直接用SPI接口控制?
自己在做SST51與TLC1543的連接,而SST51集成SPI接口,想咨詢TLC1543怎么直接用SPI接口控制,不需要模擬時(shí)序。請(qǐng)指教,謝謝
2019-06-10 09:41:58
請(qǐng)問一下怎樣去編寫TLC5615的程序代碼?
TLC5615是什么?TLC5615的電路圖是怎樣去設(shè)計(jì)的?怎樣去編寫TLC5615的程序代碼?
2021-07-01 07:13:39
TLC7524,TLC7524C,TLC7524E pdf datasheet
The TLC7524C, TLC7524E, and TLC7524I are CMOS, 8-bit, digital-to-analog converters (DACs) designed
2008-07-31 23:00:2044
AT89C2051單片機(jī)做節(jié)日彩燈控制電路程序
AT89C2051單片機(jī)做節(jié)日彩燈控制電路程序
;***************************************************;*  
2008-10-14 17:17:27124
主從模式的TLC320AC01 與DSP 的接口設(shè)計(jì)
DSP應(yīng)用系統(tǒng)中,大多有ADC和DAC通道。本文介紹一種集成了ADC和DAC于一體的TLC320AC01模擬接口電路與TMS320VC5402 定點(diǎn)DSP 的接口電路的硬件設(shè)計(jì)方法。該設(shè)計(jì)采用2 片TLC320AC01芯片,工作于
2009-04-14 17:37:2825
Neuron芯片tmpn3150與ad芯片tlc0832的兩
根據(jù)神經(jīng)元芯片TMPN3150的兩種I/O模式,給出了該神經(jīng)元芯片與A/D芯片TLC0832實(shí)現(xiàn)接口的兩種不同方法,同時(shí)給出了硬件電路和軟件程序,并對(duì)兩種方法進(jìn)行了比較.
2009-04-25 14:14:1920
AD芯片TLC2543與Neuron芯片的接口應(yīng)用
介紹了lonworks技術(shù)中Neuron芯片的一種I/O應(yīng)用模式和A/D芯片TLC2543的串行接口特性.給出了采用Neuron芯片與A/D芯片TLC2543構(gòu)建的多通道12位模擬數(shù)據(jù)采集系統(tǒng)的接口硬件實(shí)現(xiàn)方法和相關(guān)的軟
2009-04-28 13:55:4415
主從模式的TLC320AC01 與DSP 的接口設(shè)計(jì)
DSP應(yīng)用系統(tǒng)中,大多有ADC和DAC通道。本文介紹一種集成了ADC和DAC于一體的TLC320AC01模擬接口電路與TMS320VC5402 定點(diǎn)DSP 的接口電路的硬件設(shè)計(jì)方法。該設(shè)計(jì)采用2 片TLC320AC01芯片,工作于
2009-05-14 13:25:387
AD7524,pdf datasheet (8-Bit Bu
The AD7524 is a low cost, 8-bit monolithic CMOS DACdesigned for direct interface to most
2009-09-17 09:14:5832
TLC1540/TLC1541中文資料,pdf (CMOS
TLC1540/TLC1541是以10位開關(guān)電容逐次逼近A/D轉(zhuǎn)換器為基礎(chǔ)而構(gòu)造的CMOS A/D轉(zhuǎn)換器。它們?cè)O(shè)計(jì)成能通過三態(tài)輸出和模擬輸入與微處理器或外圍設(shè)備串行接口,也可以獨(dú)立工作。TLC1540/1541
2010-01-04 13:02:3073
TLC1542C, TLC1542I, TLC1542M,
The TLC1542C, TLC1542I, TLC1542M, TLC1542Q, TLC1543C, TLC1543I, and TLC1543Q are CMOS 10-bit
2010-06-06 16:45:2126
20 位Σ-Δ立體聲ADA電路TLC320AD75C的接口電
20 位Σ-Δ立體聲ADA電路TLC320AD75C的接口電路設(shè)計(jì)
摘 要:介紹了Σ-Δ 型ADC 和DAC 的特點(diǎn)及構(gòu)成,并詳細(xì)論述了Σ-Δ 型立體聲ADA 電路TLC320AD75C 的模擬與數(shù)字音頻數(shù)據(jù)接口技術(shù)、DAC
2010-06-17 15:41:0619
12位A/D轉(zhuǎn)換器TLC2543與51系列單片機(jī)接口技術(shù)
摘 要:從應(yīng)用角度介紹了具有11個(gè)輸入端的12位A/D轉(zhuǎn)換器TLC2543的結(jié)構(gòu)與編程要點(diǎn),探討了TLC2543與51系列單片機(jī)的接口方法,用軟件合成SPI操作,給出了接口電路與A/D采集程序設(shè)計(jì)實(shí)例,并
2010-07-15 11:45:55216
TLC254,TLC254A,TLC254B,TLC254Y
The TLC254, TLC254A, TLC254B, TLC25L4, TLC254L4A, TLC254L4B, TLC25M4, TLC25M4A and TL25M4B
2010-09-13 19:45:4912
TLC5510 VHDL控制程序
TLC5510 VHDL控制程序--文件名:TLC5510.vhd--功能:基于VHDL語(yǔ)言,實(shí)現(xiàn)對(duì)高速A/D器件TLC5510控制--最后修改日期
2008-06-27 11:12:581433
數(shù)字電位器AD8402與8031的接口電路及程序設(shè)計(jì)
數(shù)字電位器AD8402與8031的接口電路及程序設(shè)計(jì)
文介紹數(shù)字電位器AD8402與8031的接口電路及程序設(shè)計(jì)和使用注意事項(xiàng)。
2009-03-14 15:17:491967
模數(shù)轉(zhuǎn)換器TLC2543的串行接口及運(yùn)用
TLC2543 是TI的新型模數(shù)轉(zhuǎn)換器 本文介紹了它的功能、原理以及如何用它的串行接口和微處理器構(gòu)建12位的數(shù)據(jù)檢刪系統(tǒng) 同時(shí)給出與80C5,TMS3 70,68HC11三個(gè)微控制器的接口電路
2011-07-26 17:54:24144
串行AD轉(zhuǎn)換器TLC2543與80C196的接口及編程
本文以Intel公司的80C196單片機(jī)與11通道12位模/數(shù)轉(zhuǎn)換芯片TLC2543為例,介紹該類ADC與單片機(jī)的接口與編程,并給出具體的C語(yǔ)言程序,這種方法對(duì)于其它具有SPI接口的器件一樣適用。
2011-12-01 16:55:47171
單片機(jī)與串行AD轉(zhuǎn)換器TLC0834的接口設(shè)計(jì)
單片機(jī)與串行AD轉(zhuǎn)換器TLC0834的接口設(shè)計(jì)。
2016-01-25 10:25:2818
基于tlc3204系列的模擬接口電路
描述 tlc32040和tlc32041是完整的模擬數(shù)字和數(shù)字到模擬輸入/輸出系統(tǒng),每一個(gè)單片CMOS芯片。該器件集成了帶通開關(guān)電容輸入濾波器的一種反走樣,14位分辨率A/D轉(zhuǎn)換器,四微處理器兼容
2017-05-23 09:46:4110
接口的tlc32040家庭的TMS320系列
介紹 tlc32040和tlc32041模擬接口電路的設(shè)計(jì)是為了提供高水平的系統(tǒng)集成與性能。模擬接口電路結(jié)合高分辨率A/D和D/A轉(zhuǎn)換器,可編程濾波器,數(shù)字控制和定時(shí)電路,以及可編程輸入放大器
2017-05-24 15:17:333
tlc320ad545的DSP模擬評(píng)估板接口電路
本應(yīng)用報(bào)告討論的ad545evm評(píng)估板的設(shè)計(jì)及其應(yīng)用證明的tlc320ad545性能(ad545)模擬接口電路。這個(gè)tlc320ad545evm接口到C54x DSK +板允許用戶評(píng)價(jià)器件性能
2017-05-26 10:38:287
tlc549中文資料匯總_tlc549引腳圖及功能_工作原理_特性參數(shù)及典型應(yīng)用電路程序
主要介紹了tlc549中文資料匯總_tlc549引腳圖及功能_工作原理_特性參數(shù)及典型應(yīng)用電路程序。TLC549是8位串行A/D轉(zhuǎn)換器芯片,可與通用微處理器、控制器通過CLK、CS、DATAOUT
2017-12-19 09:08:1951371
基于TLC549的數(shù)據(jù)采集系統(tǒng)設(shè)計(jì)
本文分析了基于TLC549的數(shù)據(jù)采集系統(tǒng)設(shè)計(jì),分析了TLC549芯片的主要參數(shù)和工作原理,利用了TLC549便于和具有外圍串行接口的單片機(jī)相連的結(jié)構(gòu)特點(diǎn),采用簡(jiǎn)單而實(shí)用的硬件電路設(shè)計(jì)的數(shù)據(jù)采集系統(tǒng),同時(shí)在EDA實(shí)驗(yàn)開發(fā)系統(tǒng)上對(duì)方案進(jìn)行了驗(yàn)證。
2017-12-19 11:35:155951
TLC5540和TLC5510的測(cè)試電路的構(gòu)造的詳細(xì)資料概述
該應(yīng)用說明描述了使用A/D轉(zhuǎn)換器TLC5540和TLC55 10的測(cè)試電路的構(gòu)造,以及將這些轉(zhuǎn)換器連接到DSKPLUS DSP啟動(dòng)器套件TMS32C5X的替代方法。詳細(xì)給出了TLC55 40/10的測(cè)試電路和接口,以及PROG。還描述了數(shù)字信號(hào)處理器TMS3C5X的概述。
2018-05-18 11:29:1934
TLV2541、TLC2551、TLC3541和TLC4541設(shè)備的系統(tǒng)的SPI接口實(shí)現(xiàn)的概述
該應(yīng)用報(bào)告討論了具有以下設(shè)備的系統(tǒng)的SPI接口實(shí)現(xiàn):TLV2541、TLC2551、TLC3541和TLC45 41。這些ADC為用戶提供各種各樣的選擇,范圍從極低的功率和寬的電壓供應(yīng)范圍到快速
2018-05-25 15:22:4727
如何使用STM32寄存器點(diǎn)亮一個(gè)LED的電路程序等資料免費(fèi)下載
本文檔的主要內(nèi)容詳細(xì)介紹的是如何使用STM32寄存器點(diǎn)亮一個(gè)LED的電路程序等資料免費(fèi)下載。
2018-10-12 08:00:005
TLC2274C集成電路芯片的資料合集包括程序免費(fèi)下載
本文檔的主要內(nèi)容詳細(xì)介紹的是TLC2274C集成電路芯片的資料合集包括程序免費(fèi)下載。
2018-11-27 08:00:0015
TLC5510的VHDL程序與仿真資料免費(fèi)下載
本文檔的主要內(nèi)容詳細(xì)介紹的是TLC5510的VHDL程序與仿真資料免費(fèi)下載。
2021-01-19 14:00:0813
TLC7524接口電路的VHDL程序與仿真免費(fèi)下載
本文檔的主要內(nèi)容詳細(xì)介紹的是TLC7524接口電路的VHDL程序與仿真免費(fèi)下載。
2021-01-19 14:00:104
用單片機(jī)控制LED流水燈方案(電路程序全部給出)開關(guān)電源方案制作
用單片機(jī)控制LED流水燈方案(電路程序全部給出)開關(guān)電源方案制作(交流穩(wěn)壓電源技術(shù)參數(shù))-該文檔為用單片機(jī)控制LED流水燈方案(電路程序全部給出)開關(guān)電源方案制作總結(jié)文檔,是一份很不錯(cuò)的參考資料,具有較高參考價(jià)值,感興趣的可以下載看看………………?
2021-08-31 11:45:532
關(guān)于DAC0832的接口電路程序
關(guān)于DAC0832的接口電路程序(電源技術(shù)期刊怎么樣)-關(guān)于DAC0832的接口電路程序,適合感興趣的學(xué)習(xí)者學(xué)習(xí),可以提高自己的能力,大家可以多交流哈
2021-09-16 14:50:0410
DAC0832驅(qū)動(dòng)及外圍電路51單片機(jī)程序52單片機(jī)
電路圖輸出口放大電路程序:P1口輸出0x00-0xFF就行,0832會(huì)及時(shí)轉(zhuǎn)換
2021-11-23 17:06:128
LOGO新建電路圖程序
只有輸入正確的密碼,才能在LOGO! 主機(jī)模塊上編輯受密碼保護(hù)的電路程序或者通過LOGO!Soft Comfort 更新電路程序。
2022-12-13 15:28:10449
MX7524LCSE+ 數(shù)據(jù)采集 - 數(shù)模轉(zhuǎn)換器(DAC)
電子發(fā)燒友網(wǎng)為你提供Maxim(Maxim)MX7524LCSE+相關(guān)產(chǎn)品參數(shù)、數(shù)據(jù)手冊(cè),更有MX7524LCSE+的引腳圖、接線圖、封裝手冊(cè)、中文資料、英文資料,MX7524LCSE+真值表,MX7524LCSE+管腳等資料,希望可以幫助到廣大的電子工程師們。
2023-01-16 19:53:38
MX7524LCSE-T 數(shù)據(jù)采集 - 數(shù)模轉(zhuǎn)換器(DAC)
電子發(fā)燒友網(wǎng)為你提供Maxim(Maxim)MX7524LCSE-T相關(guān)產(chǎn)品參數(shù)、數(shù)據(jù)手冊(cè),更有MX7524LCSE-T的引腳圖、接線圖、封裝手冊(cè)、中文資料、英文資料,MX7524LCSE-T真值表,MX7524LCSE-T管腳等資料,希望可以幫助到廣大的電子工程師們。
2023-01-20 18:04:40
MX7524KP+ 數(shù)據(jù)采集 - 數(shù)模轉(zhuǎn)換器(DAC)
電子發(fā)燒友網(wǎng)為你提供Maxim(Maxim)MX7524KP+相關(guān)產(chǎn)品參數(shù)、數(shù)據(jù)手冊(cè),更有MX7524KP+的引腳圖、接線圖、封裝手冊(cè)、中文資料、英文資料,MX7524KP+真值表,MX7524KP+管腳等資料,希望可以幫助到廣大的電子工程師們。
2023-01-20 19:49:19
MX7524KCSE+T 數(shù)據(jù)采集 - 數(shù)模轉(zhuǎn)換器(DAC)
電子發(fā)燒友網(wǎng)為你提供Maxim(Maxim)MX7524KCSE+T相關(guān)產(chǎn)品參數(shù)、數(shù)據(jù)手冊(cè),更有MX7524KCSE+T的引腳圖、接線圖、封裝手冊(cè)、中文資料、英文資料,MX7524KCSE+T真值表,MX7524KCSE+T管腳等資料,希望可以幫助到廣大的電子工程師們。
2023-01-20 19:58:05
mx7524 ADI
電子發(fā)燒友網(wǎng)為你提供ADI(ADI)mx7524相關(guān)產(chǎn)品參數(shù)、數(shù)據(jù)手冊(cè),更有mx7524的引腳圖、接線圖、封裝手冊(cè)、中文資料、英文資料,mx7524真值表,mx7524管腳等資料,希望可以幫助到廣大的電子工程師們。
2023-10-11 19:03:51
mx7524s ADI
電子發(fā)燒友網(wǎng)為你提供ADI(ADI)mx7524s相關(guān)產(chǎn)品參數(shù)、數(shù)據(jù)手冊(cè),更有mx7524s的引腳圖、接線圖、封裝手冊(cè)、中文資料、英文資料,mx7524s真值表,mx7524s管腳等資料,希望可以幫助到廣大的電子工程師們。
2023-10-11 19:08:46
評(píng)論
查看更多