0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示
電子發(fā)燒友網(wǎng) > 技術(shù)文庫(kù)

電子發(fā)燒友網(wǎng)技術(shù)文庫(kù)為您提供最新技術(shù)文章,最實(shí)用的電子技術(shù)文章,是您了解電子技術(shù)動(dòng)態(tài)的最佳平臺(tái)。

  • vga圖像顯示_fpga控制vga顯示圖片

    本文為大家分享fpga控制vga顯示圖片的方法。...

    7835次閱讀 · 0評(píng)論 fpgavga
  • fpga數(shù)字鐘介紹_fpga數(shù)字鐘設(shè)計(jì)

    數(shù)字鐘實(shí)際上是一個(gè)對(duì)標(biāo)準(zhǔn)頻率(1HZ)進(jìn)行計(jì)數(shù)的計(jì)數(shù)電路。由于計(jì)數(shù)的起始時(shí)間不可能與標(biāo)準(zhǔn)時(shí)間(如北京時(shí)間)一致,故需要在電路上加一個(gè)校時(shí)電路,同時(shí)標(biāo)準(zhǔn)的1HZ時(shí)間信號(hào)必須做到準(zhǔn)確穩(wěn)定,通常使用石英晶體振蕩器電路構(gòu)成數(shù)字鐘。...

    10464次閱讀 · 0評(píng)論 fpga數(shù)字鐘
  • fpga介紹_fpga芯片系統(tǒng)結(jié)構(gòu)圖

    。FPGA芯片主要由7部分完成,分別為:可編程輸入輸出單元、基本可編程邏輯單元、完整的時(shí)鐘管理、嵌入塊式RAM、豐富的布線資源、內(nèi)嵌的底層功能單元和內(nèi)嵌專用硬件模塊。...

    12386次閱讀 · 0評(píng)論 fpgafpga芯片
  • 光學(xué)以太網(wǎng)互操作性介紹

    Steve Leibson , Xilinx 戰(zhàn)略市場(chǎng)營(yíng)銷和商業(yè)規(guī)劃總監(jiān) 我剛剛參加完在阿納海姆舉行的 OFC/NFOEC 會(huì)議,期間每天的所有議題都是高速光纖通信。在展會(huì)上,我在三個(gè)不同的展臺(tái)觀摩了三場(chǎng)不同的 100G CFP2 光模塊演示: Oclaro 展臺(tái)演示的是 Oclaro LR4 CF...

    996次閱讀 · 0評(píng)論 以太網(wǎng)
  • LCD電路及高速ADC+FPGA+ DSP的設(shè)計(jì)方案介紹

    隨著人們生活水平的提高,公路上的私家車輛也增多了,但隨之帶來(lái)的問(wèn)題就是交通事故發(fā)生率居高不下,嚴(yán)重危害著人們的生命安全。文中就如何預(yù)防交通事故發(fā)生,研究設(shè)計(jì)一種響應(yīng)迅速、高可靠性并且經(jīng)濟(jì)實(shí)用的汽車防擅報(bào)警設(shè)備。該設(shè)備在設(shè)計(jì)過(guò)程中的關(guān)鍵任務(wù)是利用置于汽車車頭左右兩端的雙路通道高速采集激光雷達(dá)回波信號(hào)并...

    9185次閱讀 · 0評(píng)論 dspfpgaadc
  • 設(shè)計(jì)FPGA系統(tǒng)時(shí)通常需要考慮的問(wèn)題分析

    許多工程師認(rèn)為,只要定義了 FPGA 的功能,工作就算完成了。但實(shí)際上將 FPGA 插入 PCB 時(shí)也會(huì)面臨一系列挑戰(zhàn)。 對(duì)于許多工程師和項(xiàng)目經(jīng)理來(lái)說(shuō),在 FPGA 中實(shí)現(xiàn)功能并實(shí)現(xiàn)時(shí)序收斂是主要目標(biāo),但實(shí)際上在印刷電路板上設(shè)計(jì) FPGA 的硬件級(jí)工作也會(huì)出現(xiàn)很多有趣的挑戰(zhàn),只有解決了這些挑戰(zhàn)才能實(shí)...

    2497次閱讀 · 0評(píng)論 fpga
  • 運(yùn)行中配置轉(zhuǎn)換長(zhǎng)度的并行FFT(PFFT)設(shè)計(jì)介紹

    超高速快速傅里葉變換(FFT)內(nèi)核是任何實(shí)時(shí)頻譜監(jiān)測(cè)系統(tǒng)的必要組成部分。隨著各頻段無(wú)線設(shè)備數(shù)量的迅速增長(zhǎng),系統(tǒng)必須相應(yīng)加強(qiáng)對(duì)帶寬的監(jiān)測(cè)。因此,這些系統(tǒng)需要以更快的速度將時(shí)域轉(zhuǎn)換為頻域,這就要求進(jìn)行更加快速的FFT運(yùn)算。實(shí)際上,大多數(shù)現(xiàn)代監(jiān)測(cè)系統(tǒng)往往需要使用并行FFT,實(shí)現(xiàn)數(shù)倍于尖端FPGA(例如賽靈...

    3176次閱讀 · 0評(píng)論 fft
  • 基于System Verilog的可重用驗(yàn)證平臺(tái)設(shè)計(jì)及驗(yàn)證結(jié)果分析

    采用System Verilog語(yǔ)言設(shè)計(jì)了一種具有層次化結(jié)構(gòu)的可重用驗(yàn)證平臺(tái),該平臺(tái)能夠產(chǎn)生各種隨機(jī)、定向、錯(cuò)誤測(cè)試向量,并提供功能覆蓋率計(jì)算。將驗(yàn)證平臺(tái)在Synopsys公司的VCS仿真工具上運(yùn)行,并應(yīng)用到包交換芯片的仿真驗(yàn)證中。仿真結(jié)果顯示,新設(shè)計(jì)的驗(yàn)證平臺(tái)能通過(guò)修改隨機(jī)信號(hào)約束條件和產(chǎn)生隨機(jī)信...

    2561次閱讀 · 0評(píng)論 數(shù)據(jù)
  • 基于 FPGA 客戶端的分布式計(jì)算網(wǎng)絡(luò)設(shè)計(jì)

    高校和私企正在應(yīng)用分布式平臺(tái),而不是安裝速度更快、耗電更大的超級(jí)計(jì)算機(jī)來(lái)解決日益復(fù)雜的科學(xué)算法,針對(duì)SETI@home 這樣的項(xiàng)目,他們則使用數(shù)以千計(jì)的個(gè)人計(jì)算機(jī)來(lái)計(jì)算它們的數(shù)據(jù)。[1,2] 當(dāng)前的分布式計(jì)算網(wǎng)絡(luò)一般用CPU 或 GPU 來(lái)計(jì)算項(xiàng)目數(shù)據(jù)。 FPGA 也正被像 COPACOBANA這樣...

    1177次閱讀 · 0評(píng)論 fpga
  • 在Zynq SoC上實(shí)現(xiàn)裸機(jī)(無(wú)操作系統(tǒng))軟件應(yīng)用方案

    Zynq?-7000 All Programmable SoC在單個(gè)器件上實(shí)現(xiàn)了ARM處理功能與FPGA邏輯獨(dú)特的組合,因此需要雙重的配置過(guò)程,同時(shí)需要考慮處理器系統(tǒng)和可編程邏輯。工程師會(huì)發(fā)現(xiàn),其配置順序與傳統(tǒng)的賽靈思FPGA稍有差別。盡管如此,方法仍是相似的,生成引導(dǎo)鏡像和完成配置存儲(chǔ)器編程的難度...

    5879次閱讀 · 0評(píng)論 zynq
  • 利用MMCM實(shí)現(xiàn)多芯片相位對(duì)齊的串行收發(fā)器糾偏方法

    在一些特定的應(yīng)用場(chǎng)景下,需要支持單板內(nèi)多芯片串行收發(fā)器糾偏。要求各接收/發(fā)送機(jī)輸入/出的數(shù)據(jù)相位差很小,比如250pS。為了達(dá)到該技術(shù)指標(biāo)要求,必須使用多通道相位對(duì)齊技術(shù)、輸入輸出FIFO旁路技術(shù)。通常的相位對(duì)齊技術(shù)由于引入了Delay_Aligner,會(huì)帶來(lái)2~4nS的相位不確定,不能滿足系統(tǒng)指標(biāo)...

    2636次閱讀 · 0評(píng)論 串行收發(fā)器
  • 賽靈思業(yè)界20nm技術(shù)首次投片標(biāo)志著UltraScale架構(gòu)時(shí)代來(lái)臨

    在28nm技術(shù)突破的基礎(chǔ)上,賽靈思又宣布推出基于20nm節(jié)點(diǎn)的兩款業(yè)界首創(chuàng)產(chǎn)品。賽靈思是首家推出20nm商用芯片產(chǎn)品的公司。此外,該新型器件也是賽靈思將向市場(chǎng)推出的首款采用UltraScale技術(shù)(可編程產(chǎn)業(yè)的首款A(yù)SIC級(jí)架構(gòu))的產(chǎn)品。UltraScale架構(gòu)充分發(fā)揮Vivado設(shè)計(jì)套件中尖端ED...

    771次閱讀 · 0評(píng)論 xilinx
  • Xilinx Vivado HLS中Floating-Point(浮點(diǎn))設(shè)計(jì)介紹

    盡管通常Fixed-Point(定點(diǎn))比Floating-Point(浮點(diǎn))算法的FPGA實(shí)現(xiàn)要更快,且面積更高效,但往往有時(shí)也需要Floating-Point來(lái)實(shí)現(xiàn)。這是因?yàn)镕ixed-Point有限的數(shù)據(jù)動(dòng)態(tài)范圍,需要深入的分析來(lái)決定整個(gè)設(shè)計(jì)中間數(shù)據(jù)位寬變化的pattern,為了達(dá)到優(yōu)化的QoR...

    10440次閱讀 · 0評(píng)論 xilinxvivado
  • 新手的FPGA學(xué)習(xí)必備的四個(gè)基礎(chǔ)知識(shí)詳解

    FPGA 已成為現(xiàn)今的技術(shù)熱點(diǎn)之一,無(wú)論學(xué)生還是工程師都希望跨進(jìn)FPGA的大門。網(wǎng)絡(luò)上各種開(kāi)發(fā)板、培訓(xùn)班更是多如牛毛,仿佛在告訴你不懂FPGA你就OUT啦。那么我們要玩轉(zhuǎn)FPGA必須具備哪些基礎(chǔ)知識(shí)呢?下面我們慢慢道來(lái)。 (一) 要了解什么是FPGA 既然要玩轉(zhuǎn)FPGA,那我們首先最重要的當(dāng)然是要了...

    43649次閱讀 · 0評(píng)論 fpga
  • FPGA開(kāi)發(fā)流程詳細(xì)解析

    1. FPGA 開(kāi)發(fā)流程: 電路設(shè)計(jì)與設(shè)計(jì)輸入 ;仿真驗(yàn)證:利用Xilinx集成的仿真工具足矣 ;邏輯綜合:利用XST(Xilinx Synthesis Tool)工具 ;布局布線:利用Xilinx的Implementation Tool工具 ;FPGA配置下載:利用iMPACT工具 2. 時(shí)序標(biāo)注...

    10184次閱讀 · 0評(píng)論 fpga
  • 基于Zynq SoC架構(gòu)在器件的可編程邏輯內(nèi)構(gòu)建外設(shè)來(lái)加快處理速度

    Zynq SoC架構(gòu)的主要優(yōu)勢(shì)之一就是能夠通過(guò)在器件的可編程邏輯內(nèi)構(gòu)建外設(shè)來(lái)加快處理速度。 這是Adam Taylor 計(jì)劃編寫的Zynq-7000 All Programmable SoC實(shí)際操作教程系列的第三部分。前兩部分教程分別刊登在《賽靈思中國(guó)通訊》第47期和48期。Adam 經(jīng)常為《賽靈思...

    899次閱讀 · 0評(píng)論 soczynq
  • 基于FPGA硬件平臺(tái)的可重構(gòu)系統(tǒng)調(diào)度算法詳解

    可重構(gòu)系統(tǒng)是指以軟件改變硬件結(jié)構(gòu)以實(shí)現(xiàn)具體應(yīng)用的計(jì)算平臺(tái),一般由非柔性但可編程的處理器和柔性的以程序控制重構(gòu)的數(shù)字邏輯器件構(gòu)成。目前國(guó)內(nèi)外的可重構(gòu)系統(tǒng)研究中,采用的可重構(gòu)硬件主要是現(xiàn)場(chǎng)可編程門陣列(Field Programming Gate Array, FPGA)。可重構(gòu)系統(tǒng)非常適合于那些對(duì)功...

    1924次閱讀 · 0評(píng)論 FPGA低功耗
  • 關(guān)于FPGA時(shí)序以及時(shí)序收斂的基本概念詳解

    FPGA器件的需求取決于系統(tǒng)和上下游(upstream and downstrem)設(shè)備。我們的設(shè)計(jì)需要和其他的devices進(jìn)行數(shù)據(jù)的交互,其他的devices可能是FPGA外部的芯片,可能是FPGA內(nèi)部的硬核。...

    9538次閱讀 · 0評(píng)論 FPGA時(shí)序
  • 賽靈思FPGA卷積神經(jīng)網(wǎng)絡(luò),云中的機(jī)器學(xué)習(xí)

    人工智能正在經(jīng)歷一場(chǎng)變革,這要得益于機(jī)器學(xué)習(xí)的快速進(jìn)步。在機(jī)器學(xué)習(xí)領(lǐng)域,人們正對(duì)一類名為“深度學(xué)習(xí)”算法產(chǎn)生濃厚的興趣,因?yàn)檫@類算法具有出色的大數(shù)據(jù)集性能。在深度學(xué)習(xí)中,機(jī)器可以在監(jiān)督或不受監(jiān)督的方式下從大量數(shù)據(jù)中學(xué)習(xí)一項(xiàng)任務(wù)。大規(guī)模監(jiān)督式學(xué)習(xí)已經(jīng)在圖像識(shí)別和語(yǔ)音識(shí)別等任務(wù)中取得巨大成功。...

    2757次閱讀 · 0評(píng)論 FPGA賽靈思機(jī)器學(xué)習(xí)
  • 關(guān)于CPU和FPGA的概念以及兩者之間的聯(lián)系詳解

    看到Intel最近發(fā)布了QPI直連FPGA的架構(gòu),冬瓜哥回想起幾個(gè)月前寫的一篇文章,現(xiàn)在重新分享給大家。從中你可以了解為何需要FPGA,F(xiàn)PGA是怎么被連接到系統(tǒng)里的,怎么被使用的。閑話少說(shuō),今天我們說(shuō)一說(shuō)IBM搞的CAPI,CAPI是OpenPower體系里的一個(gè)技術(shù),其目的是讓FPGA更好更方...

    9740次閱讀 · 0評(píng)論 FPGACPU
  • 型 號(hào)
  • 產(chǎn)品描述

推薦專欄

更多

    廠商互動(dòng)