0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

回顧電源管理與射頻應用的年度關鍵詞

cROa_英飛凌 ? 來源:djl ? 2019-09-24 11:54 ? 次閱讀

毫米波雷達

無論是炫酷的谷歌Project Soli手勢識別應用,還是特斯拉車型中的防撞探測雷達方案,英飛凌種類豐富的毫米波雷達產品都能一一應對,完美覆蓋當下熱門的24GHz、60GHz以及77GHz雷達應用,如工業(yè)、汽車、可穿戴設備等。點擊圖片即可詳細了解

英飛凌毫米波雷達產品傳送門

“D類音頻放大器

在過去的2017年里,各類智能音箱產品層出不窮,智能音箱正處于AI行業(yè)的風口。而D類音頻放大器,正是為音箱產品提供好音質的核心。英飛凌致力于提供一整套全面的分立和集成IC產品組合,從而簡化高效率D類放大器的設計。

戳圖片get到好音質的核心——D類音頻放大器!

D類音頻放大器的優(yōu)勢莫非就是高音甜、中音準、低音沉……

好聲音,為英飛凌高效率D類音頻功率放大器“轉身”

“智能路燈”

智能路燈的作用可不僅僅是照明,它還能用作電動汽車的充電樁,以及空氣質量和交通規(guī)則的測量工具。這樣一個使用了英飛凌眾多高能效元器件的智能路燈,已經(jīng)成為了智慧城市的一個全能型節(jié)點。這可是全球最先進的智能路燈之一哦,點擊圖片看設計套路

智能路燈設計傳送門

無線充電

現(xiàn)在說起無線充電,粉絲們或許想到蘋果iPhone X等智能手機的推出,引領了無線充電的風潮。但古語有曰:兵馬未動,糧草先行。早在2017年伊始,小編可就交出了“糧草級方案”,為大家準備了相關題材的文章哦,趕緊戳圖片了解《無線充電設計的武功秘籍》

無線充電秘籍傳送門

更有電磁感應式與磁共振式無線充電的詳細剖析!

無線充電這么火,英飛凌專家和您品一品

再賞無線充電:磁共振式帶來更好用戶體驗

“AI白皮書”

AlphaGo大戰(zhàn)柯潔是2017年AI界的標志性大事件。但你可能不知道,對弈的每場棋局需要消耗約30,000度電能,如此能耗顯然無法商用。這份英飛凌低功耗AI白皮書,為你解讀先進的電源控制技術和開關技術,在高功率AI應用中創(chuàng)造出最高效的一流電源解決方案。

戳上圖淘《低功耗AI白皮書》

“環(huán)保發(fā)電機”

想象一下,當你希望在遠離家門的地方,比如春游、露營時享受下依賴電力的娛樂活動,便攜式環(huán)保發(fā)電機是不是就立馬成為了你和朋友們開party的神器呢?如果要找這一類發(fā)電機的方案,記得要認準“英飛凌inside”哦,點擊圖片了解

便攜式環(huán)保發(fā)電機方案傳送門

更有爆款方案講解能效與成本的那些事兒。

想將便攜式發(fā)電機項目提升至新水準?試試這套兼顧能效與成本的“爆款”方案!

“4地巡演”

2017年夏季,英飛凌中國在北上廈深四地舉辦了轟動電源界的“極智能效 盡享隨芯”電源管理射頻巡回研討會。這一次全國巡演匯聚了不同區(qū)域近5700名工程師參與,與眾多特邀行業(yè)技術大牛,帶來了一場行業(yè)技術研討盛會。想看返場Encore的筒子們記得戳圖

看全國巡演返場Encore點這里!

“熱播視頻

英飛凌電源管理與射頻在線技術社區(qū)已經(jīng)正式上線了“熱播視頻”版塊。這里是電源管理與射頻工程師的知識課堂,英飛凌專家將用完整視頻的方案,為筒子們詮釋Infineon-inside的高效方案,并已全部匯總為中文講解。

工程界都在追的熱播劇集在這里

“輔助電源”

輔助電源哪家強?小編遙指英飛凌。輔助電源應用十分廣泛,以家電輔助電源為例,其在于為家電智能化提供穩(wěn)定的直流電壓和電流。英飛凌最新推出的第五代CoolSET產品,就有幫助實現(xiàn)在不同負載條件下提高器件效率、加速器件啟動速度等等好處。

“圣誕漫畫”

《圣誕老人送禮記》漫畫在2017年圣誕節(jié)的前夕正式上線,這是咱們賬號首次推出的故事情節(jié)漫畫。利用英飛凌先進半導體元器件、打造提高生活品質的科技產品,幫助圣誕老人順利送達禮物。如果喜愛這一漫畫,請在評論中為它打Call!

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權轉載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 電源管理
    +關注

    關注

    115

    文章

    6142

    瀏覽量

    144120
  • 便攜式
    +關注

    關注

    0

    文章

    324

    瀏覽量

    29754
  • 無線充電
    +關注

    關注

    1293

    文章

    3256

    瀏覽量

    315968
收藏 人收藏

    評論

    相關推薦

    淺析2024年半導體行業(yè)的兩大關鍵詞

    RISC-V(Reduced Instruction Set Computing – V)無疑正是當下芯片產業(yè)的熱門關鍵詞!使用最開放開源協(xié)議之一的BSD,只用十年就達到出貨量100億顆(ARM 指令集芯片達到相同出貨量用了近 30 年)的RISC-V,大有與x86和Arm兩大指令集三分天下的潛力。
    的頭像 發(fā)表于 09-19 13:01 ?421次閱讀

    探索AC自動機:多關鍵詞搜索的原理與應用案例

    引言 目前,大多數(shù)自由文本搜索技術采用類似于Lucene的策略,通過解析搜索文本為各個組成部分來定位關鍵詞。這種方法在處理少量關鍵詞時表現(xiàn)良好。但當搜索的關鍵詞數(shù)量達到10萬個或更多時,這種
    的頭像 發(fā)表于 08-26 15:55 ?796次閱讀
    探索AC自動機:多<b class='flag-5'>關鍵詞</b>搜索的原理與應用案例

    什么是射頻電源?它有哪些應用?

    射頻電源作為一種關鍵的設備組件,在多個領域發(fā)揮著重要作用。以下是對射頻電源的詳細解析,內容涵蓋其定義、工作原理、應用領域及重要性等方面。
    的頭像 發(fā)表于 08-23 14:45 ?4620次閱讀

    中國信通院發(fā)布“2024云計算十大關鍵詞

    7月23日,由中國通信標準化協(xié)會主辦,中國信息通信研究院(簡稱“中國信通院”)承辦的“2024可信云大會”在京召開。大會上,中國信通院正式發(fā)布“2024云計算十大關鍵詞”,中國信通院云計算與大數(shù)
    的頭像 發(fā)表于 08-02 08:28 ?536次閱讀
    中國信通院發(fā)布“2024云計算十大<b class='flag-5'>關鍵詞</b>”

    淺談交直流混合微電網(wǎng)能量管理系統(tǒng)關鍵技術

    微電網(wǎng)能量管理系統(tǒng)架構、主要功能及系統(tǒng)通訊等方面進行了分析,并對其未來發(fā)展進行了展望,以期為能量管理與運行控制系統(tǒng)的研究和發(fā)展起到一定的推動作用。 關鍵詞 :交直流混合微電網(wǎng);中央控制器;能量
    的頭像 發(fā)表于 05-31 10:42 ?767次閱讀
    淺談交直流混合微電網(wǎng)能量<b class='flag-5'>管理</b>系統(tǒng)<b class='flag-5'>關鍵</b>技術

    集創(chuàng)北方iML8986榮獲AspenCore“年度最佳電源管理IC”

    2024年3月28日,2024國際集成電路展覽會暨研討會(IIC Shanghai)在上海張江科學會堂盛大開幕,在29日晚的年度頒獎典禮上,集創(chuàng)北方電源管理芯片iML8986榮獲AspenCore“中國IC設計成就獎”-
    的頭像 發(fā)表于 04-03 10:30 ?783次閱讀
    集創(chuàng)北方iML8986榮獲AspenCore“<b class='flag-5'>年度</b>最佳<b class='flag-5'>電源</b><b class='flag-5'>管理</b>IC”

    微軟Edge瀏覽器將引入PDF閱讀器,實現(xiàn)關鍵詞自動生成功能

    當前,微軟已邀請部分Canary頻道Edge使用者參與試用,并在Edge瀏覽器中打開PDF后,右上角將出現(xiàn)新品圖標(A之上加放大鏡),用以自動分析及歸納文檔內容的關鍵詞。
    的頭像 發(fā)表于 03-28 10:05 ?428次閱讀

    深開鴻用三個關鍵詞,為你解讀《2023 OpenHarmony 年度運營報告》

    的一筆共同奏響中國基礎軟件的光輝歲月作為OpenHarmony生態(tài)的領軍企業(yè)深開鴻通過三個關鍵詞帶你讀懂《2023OpenHarmony年度運營報告》01繁榮202
    的頭像 發(fā)表于 02-02 17:00 ?508次閱讀
    深開鴻用三個<b class='flag-5'>關鍵詞</b>,為你解讀《2023 OpenHarmony <b class='flag-5'>年度</b>運營報告》

    中科曙光算力服務年度盤點 四大關鍵詞

    總結為以下四個關鍵詞。 聚焦 —? 曙光算力服務緊跟市場趨勢,積極參與信通院新一代算力網(wǎng)技術創(chuàng)新聯(lián)盟、首批可信算力云服務-智能平臺和“算力星圖”計劃。通過深度參與行業(yè)標準和技術創(chuàng)新,曙光智算成功通過首批“可信算力服務-智
    的頭像 發(fā)表于 01-04 10:34 ?565次閱讀

    未來已來:十大關鍵詞深度回顧2023智能家居行業(yè)

    插圖由AI生成,智哪兒版權所有當我們站在2024年的門檻上,回顧過去幾年智能家居領域的發(fā)展,一個令人興奮且充滿未來感的畫面展現(xiàn)在我們眼前。這個行業(yè)已經(jīng)走過了多個發(fā)展階段,從最初的概念引發(fā)好奇,到如今
    的頭像 發(fā)表于 01-02 17:12 ?973次閱讀
    未來已來:十大<b class='flag-5'>關鍵詞</b>深度<b class='flag-5'>回顧</b>2023智能家居行業(yè)

    測測這10個AI關鍵詞你清楚幾個?第4個今年最火

    原文標題:測測這10個AI關鍵詞你清楚幾個?第4個今年最火 文章出處:【微信公眾號:微軟科技】歡迎添加關注!文章轉載請注明出處。
    的頭像 發(fā)表于 12-21 08:15 ?516次閱讀
    測測這10個AI<b class='flag-5'>關鍵詞</b>你清楚幾個?第4個今年最火

    #2023,你的 FPGA 年度關鍵詞是什么? # 對狀態(tài)機的疑惑?

    自己平時一直在寫的狀態(tài)機格式,同事昨天說我寫的是一段式的最多算是偽二段式的,說的看了不少文章我也有點疑惑了,所給大家貼出來一起看看,我這邊寫法和野火的一直這次就貼出野火FPGA的code,供大家參考對比。 module complex_fsm ( input wire sys_clk , //系統(tǒng)時鐘 50MHz input wire sys_rst_n , //全局復位 input wire pi_money_one , //投幣 1 元 input wire pi_money_half , //投幣 0.5 元 output reg po_money , //po_money 為 1 時表示找零 //po_money 為 0 時表示不找零 output reg po_cola //po_cola 為 1 時出可樂 //po_cola 為 0 時不出可樂 ); //********************************************************************// //****************** Parameter and Internal Signal *******************// //********************************************************************// //parameter define //只有五種狀態(tài),使用獨熱碼 parameter IDLE = 5\'b00001; parameter HALF = 5\'b00010; parameter ONE = 5\'b00100; parameter ONE_HALF = 5\'b01000; parameter TWO = 5\'b10000; //reg define reg [4:0] state; //wire define wire [1:0] pi_money; //********************************************************************// //***************************** Main Code ****************************// //********************************************************************// //pi_money:為了減少變量的個數(shù),我們用位拼接把輸入的兩個 1bit 信號拼接成 1 個 2bit 信號 //投幣方式可以為:不投幣(00)、投 0.5 元(01)、投 1 元(10),每次只投一個幣 assign pi_money = {pi_money_one, pi_money_half}; //第一段狀態(tài)機,描述當前狀態(tài) state 如何根據(jù)輸入跳轉到下一狀態(tài) always@(posedge sys_clk or negedge sys_rst_n) if(sys_rst_n == 1\'b0) state <= IDLE; //任何情況下只要按復位就回到初始狀態(tài) else case(state) IDLE : if(pi_money == 2\'b01) //判斷一種輸入情況 state <= HALF; else if(pi_money == 2\'b10)//判斷另一種輸入情況 state <= ONE; else state <= IDLE; HALF : if(pi_money == 2\'b01) state <= ONE; else if(pi_money == 2\'b10) state <= ONE_HALF; else state <= HALF; ONE : if(pi_money == 2\'b01) state <= ONE_HALF; else if(pi_money == 2\'b10) state <= TWO; else state <= ONE; ONE_HALF: if(pi_money == 2\'b01) state <= TWO; else if(pi_money == 2\'b10) state <= IDLE; else state <= ONE_HALF; TWO : if((pi_money == 2\'b01) || (pi_money == 2\'b10)) state <= IDLE; else state <= TWO; //如果狀態(tài)機跳轉到編碼的狀態(tài)之外也回到初始狀態(tài) default : state <= IDLE; endcase //第二段狀態(tài)機,描述當前狀態(tài) state 和輸入 pi_money 如何影響 po_cola 輸出 always@(posedge sys_clk or negedge sys_rst_n) if(sys_rst_n == 1\'b0) po_cola <= 1\'b0; else if((state == TWO && pi_money == 2\'b01) || (state == TWO &&pi_money == 2\'b10) || (state == ONE_HALF && pi_money == 2\'b10)) po_cola <= 1\'b1; else po_cola <= 1\'b0; //第二段狀態(tài)機,描述當前狀態(tài) state 和輸入 pi_money 如何影響 po_money 輸出 always@(posedge sys_clk or negedge sys_rst_n) if(sys_rst_n == 1\'b0) po_money <= 1\'b0; else if((state == TWO) && (pi_money == 2\'b10)) po_money <= 1\'b1; else po_money <= 1\'b0; endmodule
    發(fā)表于 12-16 09:38

    #2023,你的 FPGA 年度關鍵詞是什么? # PWM模塊更新

    之前的因為一些問題發(fā)的code有點問題,這次把更新之后code發(fā)了出來,雖然也不是很完善但是初步還是可以用的; 對應的code如下: `timescale 1ns / 1ps modulecreat_PWM ( inputwireclk,//系統(tǒng)時鐘為100MHz inputwirerst,//系統(tǒng)復位 inputwirekey_flag1,//占空比上調 inputwirekey_flag2,//占空比下調 inputwirekey_flag3,//頻率上調 inputwirekey_flag4,//頻率下調 output regPWM ); //PWM波形頻率選擇 reg [1:0] Frequency_seting; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) Frequency_seting <= 2\'b00; else if( (Frequency_seting == 2\'b11) && (key_flag3==1\'b1) ) Frequency_seting <= 2\'b00; else if( (Frequency_seting == 2\'b00) && (key_flag4==1\'b1) ) Frequency_seting <= 2\'b11; else if( key_flag3==1\'b1 ) Frequency_seting <= Frequency_seting + 1\'b1; else if( key_flag4==1\'b1 ) Frequency_seting <= Frequency_seting - 1\'b1; else Frequency_seting <= Frequency_seting; //PWM波形的頻率設定 reg [23:0] Frequency_CNT_MAX; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) Frequency_CNT_MAX <= 24\'d9_999; else case( Frequency_seting ) 2\'b00 : Frequency_CNT_MAX <= 24\'d9_999; 2\'b01 : Frequency_CNT_MAX <= 24\'d99_999; 2\'b10 : Frequency_CNT_MAX <= 24\'d999_999; 2\'b11 : Frequency_CNT_MAX <= 24\'d9_999_999; default : Frequency_CNT_MAX <= 24\'d9_999; endcase //PWM頻率生成計數(shù)器模塊 reg [23:0] counter; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) counter <= 0; else if( counter == Frequency_CNT_MAX) counter <= 0; else counter <= counter + 1\'b1; //占空比調節(jié)模塊,步進為10% reg [23:0] duty_counter; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) duty_counter <= Frequency_CNT_MAX/2; else if( key_flag1 == 1\'b1 ) duty_counter <= duty_counter + (Frequency_CNT_MAX/10); else if( key_flag2 == 1\'b1 ) duty_counter <= duty_counter - (Frequency_CNT_MAX/10); else duty_counter <= duty_counter; //生成PWM always @(posedge clk or negedge rst) if( rst == 1\'b0 ) PWM <= 1\'b0; else if( duty_counter <= counter ) PWM <= 1\'b1; else PWM <= 1\'b0; endmodule 對應的測試用的testbench如下: `timescale 1ns/1ns module tb_creat_PWM(); //****************** Parameter and Internal Signal *******************// //wire define wirePWM; //reg define reg clk; reg rst; reg key_flag1; reg key_flag2; reg key_flag3; reg key_flag4; //***************************** Main Code ****************************// initial begin clk = 1\'b1; rst <= 1\'b0; key_flag1 <= 1\'b0; key_flag2 <= 1\'b0; key_flag3 <= 1\'b0; key_flag4 <= 1\'b0; #201; rst <= 1\'b1; #200; key_flag1 <= 1\'b1; #100; key_flag1 <= 1\'b0; #20000000; key_flag1 <= 1\'b1; #100; key_flag1 <= 1\'b0; #20000000; #20000000; $stop; end // creator clk always #10 clk <= ~clk; //*************************** Instantiation **************************// creat_PWMcreat_PWM_inst ( .clk ( clk), .rst ( rst), .key_flag1 ( key_flag1 ), .key_flag2 ( key_flag2 ), .key_flag3 ( key_flag3 ), .key_flag4 ( key_flag4 ), .PWM ( PWM) ); endmodule 對應的原始code中的參數(shù)如果修改一下是可以大幅縮短仿真時間,但是一時沒有想起對應的修改模塊內部變量的方法,后面找到后再進行補充。 寫的還是感覺比較差勁,只能說說慢慢進步吧,自己也是自學不久。
    發(fā)表于 12-12 10:47

    #2023,你的 FPGA 年度關鍵詞是什么? # PWM模塊基礎設計

    由于今天連續(xù)多次無法發(fā)布該文章,心態(tài)真的是崩了,由于基礎的PWM比較簡單,此次先給大家展示個半成品,完整狀態(tài)對應的PWM頻率、占空比均可調節(jié),對應的模塊結構圖如下: 對應的基本code如下: modulecreat_PWM ( inputwireclk, //系統(tǒng)時鐘為50MHz inputwirerst, inputwirekey_flag1, inputwirekey_flag2, output regPWM ); parameter Frequency_CNT_MAX = 16\'d49_999; //輸出PWM為1KHz,1ms=5000*20ns //PWM頻率生成計數(shù)器模塊 reg [15:0] couter; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) couter <= 0; else if( couter == Frequency_CNT_MAX ) couter <= 0; else couter <= couter + 1\'b1; //占空比調節(jié)模塊 reg [15:0] duty_counter; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) duty_counter <= 16\'d24_999; else if( key_flag1 == 1\'b1 ) duty_counter <= duty_counter + 16\'d49; else if( key_flag2 == 1\'b1 ) duty_counter <= duty_counter - 16\'d49; else duty_counter <= duty_counter; //生成PWM always @(posedge clk or negedge rst) if( rst == 1\'b0 ) PWM <= 1\'b0; else if( duty_counter <= Frequency_CNT_MAX ) PWM <= 1\'b1; else PWM <= 1\'b0; endmodule 由于是第一次在電子發(fā)燒友上發(fā)文章,體驗感覺真的不太友好,希望能夠把文章的自動保存功能給加上,否則沒有備份真的讓人不開心
    發(fā)表于 12-06 21:56

    #2023,你的 FPGA 年度關鍵詞是什么? #

    FPGA 年度關鍵詞,我的想法是“標準化”;今年的工作中遇到了不少同事的issues,本身都是小問題或者很細節(jié)的東西但是卻反復出現(xiàn)問題,目前想到的最好的辦法是做好設計規(guī)則的標準化才能避免,不知道大家有沒有更好的建議?
    發(fā)表于 12-06 20:31