0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

占空比為50%的3分頻電路

姚小熊27 ? 來(lái)源:xx ? 2019-10-08 10:20 ? 次閱讀

在一個(gè)揚(yáng)聲器系統(tǒng)里,人們把箱體、分頻電路、揚(yáng)聲器單元稱為揚(yáng)聲器系統(tǒng)的三大件,而分頻電路對(duì)揚(yáng)聲器系統(tǒng)能否高質(zhì)量地還原電聲信號(hào)起著極其重要的作用。尤其在中、高頻部分,分頻電路所起到的作用就更為明顯。

分頻電路的作用

1、合理地分割各單元的工作頻段;

2、合理地進(jìn)行各單元功率分配;

3、使各單元之間具有恰當(dāng)?shù)南辔魂P(guān)系以減少各單元在工作中出現(xiàn)的聲干涉失真;

4、利用分頻電路的特性以彌補(bǔ)單元在某頻段里的聲缺陷;

5、將各頻段圓滑平順地對(duì)接起來(lái)。

顯然,分頻電路的這些作用已被人們所認(rèn)識(shí)和接受。

占空比為50%的3分頻電路

時(shí)序圖工具

占空比為50%的3分頻電路

{signal: [

{name: ‘clk’, wave: ‘P…P…’},

{name: ‘clk1’, wave: ‘H.LH.LH.L’},

{name: ‘clk2’, wave: ‘lh.lh.lh.l’,phase:0.5},

{},

{name: ‘clk1 & clk2’, wave: ‘nhlnhlnhp’}

]}

目前各個(gè)FPGA廠家一般都有集成的鎖相環(huán)資源,但在設(shè)計(jì)對(duì)于時(shí)鐘要求不高的基本設(shè)計(jì),通過(guò)邏輯進(jìn)行時(shí)鐘分頻依然有效,還可以節(jié)省芯片內(nèi)部的鎖相環(huán)資源,其中分頻又分為,偶數(shù)分頻,奇數(shù)數(shù)分頻,小數(shù)分頻,此次主要涉及奇數(shù)分頻,設(shè)計(jì)一個(gè)占空比為50%的三分頻電路,仿真環(huán)境采用edaplayground.com.

奇數(shù)分頻原理

分別采用上升沿進(jìn)行一個(gè)占空比為2/3的始終,在次用下降樣設(shè)計(jì)同樣的占空比,最后將兩者進(jìn)行相與,得到占空比為50%的三分頻電路。

// Code your design here

`timescale 1ns/1ps

module div3_half(

input Sys_clk,

input Sys_reset,

output div3 ,

output clk1,

output clk2

);

reg clk1;//2/3 is high posedge

reg clk2;//2/3 is high negedge

//counter

reg [1:0]count;

always @ (posedge Sys_clk )

if(!Sys_reset)

count 《= 2‘b0;

else if(count ==2’d2)

count 《= 2‘b0;

else

count 《= count +1’b1;

always @(posedge Sys_clk )

if(!Sys_reset)

begin

clk1 《=1‘b1;

end

else if(count == 2’d1 | count == 2‘d2)

clk1 《= ~clk1;

always @(negedge Sys_clk )

if(!Sys_reset)

begin

clk2 《=1’b1;

end

else if(count == 2‘d2 | count ==2’d1)

clk2 《= ~clk2;

//------------------------------------------------

assign div3 =clk1 & clk2;

endmodule

// Code your testbench here

// or browse Examples

`timescale 1ns/1ps

module tset();

reg Sys_clk;

reg Sys_reset;

wire div3;

initial

begin

$dumpfile(“d.vcd”);

$dumpvars(1);

Sys_clk=0;

Sys_reset = 0;

#100

Sys_reset =1;

end

always #10 Sys_clk = ~Sys_clk;

div3_half div3_half_inst(Sys_clk,Sys_reset,div3,clk1,clk2);

endmodule

仿真結(jié)果

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 分頻
    +關(guān)注

    關(guān)注

    0

    文章

    240

    瀏覽量

    24832
  • 分頻電路
    +關(guān)注

    關(guān)注

    7

    文章

    45

    瀏覽量

    35907
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    USB3.0中五分頻電路設(shè)計(jì)

    本文設(shè)計(jì)了基于65 nm 工藝的五分頻器, 產(chǎn)生一個(gè)占空比為50%的五分頻信號(hào)。對(duì)該電路的設(shè)計(jì)不以追求高速度為惟一目標(biāo)
    發(fā)表于 11-25 15:07 ?9740次閱讀
    USB3.0中五<b class='flag-5'>分頻</b><b class='flag-5'>電路</b>設(shè)計(jì)

    分頻電路,四分頻電路

    四4分頻電路下圖的分頻電路輸出占空比均為50%,可用D-FF,也可用JK-FF來(lái)組成,用JK-F
    發(fā)表于 06-22 08:02

    參數(shù)可變的奇數(shù)分頻占空比問(wèn)題

    如題,設(shè)置任意參數(shù)可變的整數(shù)分頻,分頻系數(shù)由DSP發(fā)送給CPLD,在調(diào)試的過(guò)程中發(fā)現(xiàn)由2分頻調(diào)到3分頻的時(shí)候,
    發(fā)表于 03-13 16:57

    用VHDL語(yǔ)言實(shí)現(xiàn)3分頻電路

    用VHDL語(yǔ)言實(shí)現(xiàn)3分頻電路 標(biāo)簽/分類: 眾所周知,分頻器是FPGA設(shè)計(jì)中使用頻率非常高的基本設(shè)計(jì)之一,盡管在目前大部分設(shè)計(jì)中,廣泛使用芯片廠家集成的鎖相
    發(fā)表于 08-21 15:28 ?5681次閱讀

    分頻音樂(lè)彩燈電路

    分頻音樂(lè)彩燈電路圖輸入音頻經(jīng)虛線框內(nèi)的三分頻網(wǎng)絡(luò)分頻后去控制SCR1-SCR3,從而使彩燈L1,L2,L
    發(fā)表于 12-26 19:26 ?1262次閱讀
    三<b class='flag-5'>分頻</b>音樂(lè)彩燈<b class='flag-5'>電路</b>圖

    1/60分頻電路

    1/60分頻電路
    發(fā)表于 06-29 22:16 ?2426次閱讀
    1/60<b class='flag-5'>分頻</b><b class='flag-5'>電路</b>

    分頻電路

    分頻電路 當(dāng)計(jì)數(shù)脈沖由INB輸入,QB、QC、QD作為輸出,構(gòu)成五進(jìn)制
    發(fā)表于 06-29 23:49 ?7053次閱讀
    五<b class='flag-5'>分頻</b><b class='flag-5'>電路</b>

    供數(shù)字時(shí)鐘使用的+5000分頻電路

    供數(shù)字時(shí)鐘使用的+5000分頻電路
    發(fā)表于 01-13 20:07 ?1373次閱讀
    供數(shù)字時(shí)鐘使用的+5000<b class='flag-5'>分頻</b>器<b class='flag-5'>電路</b>

    D觸發(fā)器實(shí)現(xiàn)二分頻電路(D觸發(fā)器構(gòu)成的2分頻電路)

    D觸發(fā)器實(shí)現(xiàn)二分頻電路(D觸發(fā)器構(gòu)成的2分頻電路)&
    發(fā)表于 06-12 13:58 ?7.9w次閱讀
    D觸發(fā)器實(shí)現(xiàn)二<b class='flag-5'>分頻</b><b class='flag-5'>電路</b>(D觸發(fā)器構(gòu)成的2<b class='flag-5'>分頻</b><b class='flag-5'>電路</b>)

    用JK-FF觸發(fā)器實(shí)現(xiàn)的3分頻電路

    圖2是3分頻電路,用JK-FF實(shí)現(xiàn)3分頻很方便,不需要附加任何邏輯電路就能實(shí)現(xiàn)同步計(jì)數(shù)
    發(fā)表于 06-22 07:41 ?1.3w次閱讀
    用JK-FF觸發(fā)器實(shí)現(xiàn)的<b class='flag-5'>3</b><b class='flag-5'>分頻</b><b class='flag-5'>電路</b>

    用VHDL語(yǔ)言實(shí)現(xiàn)3分頻電路(占空比為2比1)

    用VHDL語(yǔ)言實(shí)現(xiàn)3分頻電路(占空比為2比1) 分頻器是FPGA設(shè)計(jì)中使用頻率非常高的基本設(shè)計(jì)之一,盡管在目前大部分設(shè)計(jì)中,廣泛使用芯片廠
    發(fā)表于 06-22 07:46 ?8365次閱讀

    10分頻電路

    10分頻電路 任意分頻電路
    發(fā)表于 06-22 08:04 ?1.6w次閱讀
    10<b class='flag-5'>分頻</b><b class='flag-5'>電路</b>

    有源二分頻電路

    有源二分頻電路圖:分頻點(diǎn)在250MHZ,上圖僅為一個(gè)聲道,另
    發(fā)表于 09-17 14:47 ?6545次閱讀
    有源二<b class='flag-5'>分頻</b><b class='flag-5'>電路</b>

    基于65nm工藝的五分頻器設(shè)計(jì)方案

    鐘信號(hào)CLK, A 和B 進(jìn)行邏輯運(yùn)算得到占空比為50% 的五分頻信號(hào)CLK/ 5, 其計(jì)數(shù)過(guò)程如表1 所示, 從表1 的計(jì)數(shù)過(guò)程可知, 分頻后的時(shí)鐘CLK/ 5 的周期是輸入時(shí)鐘CL
    的頭像 發(fā)表于 04-18 14:04 ?9341次閱讀
    基于65nm工藝的五<b class='flag-5'>分頻</b>器設(shè)計(jì)方案

    經(jīng)典三分頻電路介紹(三款不同的三分頻電路

    分頻電路,在電路圖中,在一般的利用常規(guī)計(jì)數(shù)器對(duì)數(shù)字脈沖進(jìn)行奇數(shù)分頻時(shí),即使輸入是對(duì)稱信號(hào), 輸出也得不到占空比為
    發(fā)表于 08-13 11:08 ?12w次閱讀
    經(jīng)典三<b class='flag-5'>分頻</b><b class='flag-5'>電路</b>介紹(三款不同的三<b class='flag-5'>分頻</b><b class='flag-5'>電路</b>)