0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

淺析基于Fluent的二自由度圓柱渦激振動(dòng)數(shù)值模擬與應(yīng)用

8XCt_sim_ol ? 來(lái)源:仿真秀App ? 作者:姜工 ? 2022-11-29 16:02 ? 次閱讀

一、案例描述

本案例源自美國(guó)康奈爾大學(xué)經(jīng)典圓柱水槽試驗(yàn),在實(shí)際的工程應(yīng)用中,管柱被認(rèn)為是一個(gè)有彈性支撐的剛性結(jié)構(gòu),結(jié)合切片理論可將計(jì)算模型簡(jiǎn)化至二維,可以看作是一個(gè)質(zhì)量彈簧阻尼系統(tǒng),如圖中所示

f67d0fd4-6cb0-11ed-8abf-dac502259ad0.png

圓柱渦激振動(dòng)示意圖

計(jì)算參數(shù)如下表所示

f6984cea-6cb0-11ed-8abf-dac502259ad0.png ?

二、采用Designmodeler進(jìn)行幾何模型建立

Workbench中導(dǎo)入Fluid Flow

右擊Geometry,選擇designmodeler

詳細(xì)幾何建模過(guò)程不進(jìn)行描述

f6b6569a-6cb0-11ed-8abf-dac502259ad0.png

流體計(jì)算域模型如圖所示,模型使用的計(jì)算域?yàn)?0D×20D矩形區(qū)域。入口邊界距離圓柱中心10D處,出口邊界距離圓柱中心20D處,上部邊界和下部位于距圓柱體中心10D處,并被定義為對(duì)稱邊界。

其中圓柱位于入口下游10D處,位于橫流方向的中心線(y=0)。阻塞率為圓柱直徑與流場(chǎng)域?qū)挾鹊谋戎担疚淖枞蕿?.05,不超過(guò)0.05,故滿足要求。采用“剛性運(yùn)動(dòng)區(qū)域+動(dòng)網(wǎng)格區(qū)域+靜止網(wǎng)格區(qū)域”多區(qū)域劃分的方法來(lái)建立圓截面渦激振動(dòng)流場(chǎng)區(qū)域,整體流場(chǎng)分為外流域部分和內(nèi)流域部分。

注:為保證流場(chǎng)在內(nèi)外流域交界地方一致性,選中內(nèi)外流域,鼠標(biāo)右擊from a part,可保證后續(xù)畫網(wǎng)格時(shí)具有網(wǎng)格一致性。

f6e05634-6cb0-11ed-8abf-dac502259ad0.png

f708cab0-6cb0-11ed-8abf-dac502259ad0.png ?

三、采用Meshing進(jìn)行網(wǎng)格劃分

Workbench中導(dǎo)入Fluid Flow

雙擊Meshing,詳細(xì)網(wǎng)格劃分步驟在這里不介紹了

f72f0086-6cb0-11ed-8abf-dac502259ad0.png

整體流場(chǎng)在進(jìn)行網(wǎng)格劃分時(shí),采用“剛性運(yùn)動(dòng)區(qū)域+動(dòng)網(wǎng)格區(qū)域+靜止網(wǎng)格區(qū)域”的 方法來(lái)建立圓截面渦激振動(dòng)網(wǎng)格劃分區(qū)域,如圖中所示,具體劃分區(qū)域如下:

f7429c18-6cb0-11ed-8abf-dac502259ad0.png ?

(1) 動(dòng)網(wǎng)格區(qū)域采用非結(jié)構(gòu)化三角形網(wǎng)格進(jìn)行網(wǎng)格劃分,F(xiàn)luent動(dòng)態(tài)網(wǎng)格模型算法采用Smoothing+Remeshing的方式更新動(dòng)網(wǎng)格,此區(qū)域?yàn)樽冃螀^(qū),為圓柱的振動(dòng)提供了一個(gè)運(yùn)動(dòng)的空間,其網(wǎng)格會(huì)因圓柱的運(yùn)動(dòng)而進(jìn)行重新劃分,因此網(wǎng)格的初始質(zhì)量好但隨著重新劃分可能有所下降,但可通過(guò)參數(shù)設(shè)置使重新劃分后的網(wǎng)格質(zhì)量盡可能好。

此區(qū)域的大小由圓柱的振幅大小決定, 考慮到文獻(xiàn)實(shí)驗(yàn)中的最大振幅為1.5D左右,因此動(dòng)網(wǎng)格區(qū)域尺寸取為6D×6D,留有充足的空間允許圓柱進(jìn)行大范圍的的振動(dòng),能夠很好地滿足數(shù)值計(jì)算的需要(避免振幅超過(guò)容許空間從而擠壓外邊界形成負(fù)網(wǎng)格);

(2)剛性運(yùn)動(dòng)區(qū)域主要包括圓柱流固耦合邊界與邊界層區(qū)域,圓柱近壁面邊界層網(wǎng)格采用膨脹層網(wǎng)格處理,使在主要流速下預(yù)估的Y+=1,邊界層第一層高度可按經(jīng)驗(yàn)公式計(jì)算,近壁面劃分15層四邊形結(jié)構(gòu)化邊界層網(wǎng)格,網(wǎng)格增加率設(shè)置為1.2,向外過(guò)渡至與動(dòng)網(wǎng)格的交界處。

邊界層區(qū)域網(wǎng)格尺寸較小、網(wǎng)格劃分質(zhì)量較好并具有與圓截面相同的運(yùn)動(dòng)速度,因此將隨著圓截面的振動(dòng)而振動(dòng),由此來(lái)保證圓截面附近的網(wǎng)格一直處于初始的高質(zhì)量狀態(tài)從而確保各時(shí)間步都具有足夠的計(jì)算精度。

(3) 靜止網(wǎng)格區(qū)域采用四邊形結(jié)構(gòu)化網(wǎng)格,整體網(wǎng)格尺寸網(wǎng)格尺寸保持不變,用以為模擬尾流中的渦街提供更好的計(jì)算精度。

四、Fluent 基本設(shè)置

步驟一:?jiǎn)?dòng)fluent

Workbench中導(dǎo)入Fluid Flow

雙擊Setup,不考慮運(yùn)行計(jì)算的話,默認(rèn)即可

f772c820-6cb0-11ed-8abf-dac502259ad0.png

步驟二:General 設(shè)置

點(diǎn)擊左側(cè)模型樹節(jié)點(diǎn) General ,出現(xiàn) General 面板,如圖所示。

f7925d5c-6cb0-11ed-8abf-dac502259ad0.png

對(duì)面板進(jìn)行參數(shù)設(shè)置 Scale…

f7bcdbea-6cb0-11ed-8abf-dac502259ad0.png

點(diǎn)擊scale…

將單位修改為與幾何建模時(shí)尺寸單位保持一致

點(diǎn)擊close

Check

點(diǎn)擊 Check 按鈕,屏幕輸出網(wǎng)格信息如圖所示,確保網(wǎng)格最小體積為正值

f7d2fdc6-6cb0-11ed-8abf-dac502259ad0.png

Time

f7ff34ea-6cb0-11ed-8abf-dac502259ad0.png

由于渦激振動(dòng)屬于周期性振動(dòng),Time選項(xiàng)設(shè)置為transient,進(jìn)行瞬態(tài)研究 其余保持默認(rèn)即可

步驟三 Models設(shè)置

選擇模型樹節(jié)點(diǎn) Models ,在右側(cè) Models 列表項(xiàng)中雙擊,彈出湍流模型設(shè)置對(duì)話框。設(shè)置參數(shù):

選擇 Model 為 k-omega ( 2 eqn )

選擇k-omega Model 為 SST

選擇OK按鈕關(guān)閉對(duì)話框

其他保持默認(rèn)即可

f81e880e-6cb0-11ed-8abf-dac502259ad0.png

步驟四:Materials設(shè)置

選擇模型樹Materials,分為流體材料fluid和固體材料solid,雙擊材料空氣air進(jìn)行設(shè)置,彈出材料設(shè)置窗口

f845b3b6-6cb0-11ed-8abf-dac502259ad0.png

f85f407e-6cb0-11ed-8abf-dac502259ad0.png

點(diǎn)擊Fluent Datebase…

下拉菜單至最后,找到材料水(water)

點(diǎn)擊Copy

其余選擇默認(rèn),點(diǎn)擊close關(guān)閉

f879a770-6cb0-11ed-8abf-dac502259ad0.png

步驟五 Cell Zone Conditions

選擇模型樹Cell Zone Conditions,雙擊彈出區(qū)域設(shè)置窗口,如圖所示

分別選擇part-dongquyu和solid-part-jignzhiyu,將屬性設(shè)置為流體

將material name 選擇為 water-liquid

其余保持默認(rèn),點(diǎn)擊close關(guān)閉

f8a31826-6cb0-11ed-8abf-dac502259ad0.png

步驟六:Boundary Conditions

點(diǎn)擊模型樹節(jié)點(diǎn) Boundary Conditions ,參數(shù)面板如圖所示。

f8c6a584-6cb0-11ed-8abf-dac502259ad0.png

1、Inlet 邊界設(shè)置

鼠標(biāo)雙擊 inlet 列表項(xiàng)。設(shè)置參數(shù):

設(shè)置 Velocity Magnitude 為 0.09m/s

設(shè)置 Specification Method 為 Intensity and Hydraulic Diameter

設(shè)置 Turbulent Intensity 為5%

設(shè)置Hydraulic Diameter 為 0.0381

選擇OK按鈕關(guān)閉對(duì)話框

f8eab41a-6cb0-11ed-8abf-dac502259ad0.png

2 、 Outlet 設(shè)置

鼠標(biāo)選中 outlet 項(xiàng),設(shè)置 Type 為 Pressure-outlet,Gauge pressure設(shè)置為0,其他參數(shù)保持默認(rèn)。

步驟七 :Dynamic Mesh

點(diǎn)擊模型樹節(jié)點(diǎn) Dynamic Mesh ,參數(shù)面板如圖所示。

f90408fc-6cb0-11ed-8abf-dac502259ad0.png

勾選Dynamic Mesh

勾選Smoothing和Remeshing

點(diǎn)擊settings…,選擇 spring

選擇remeshing,點(diǎn)擊default,點(diǎn)擊ok

f926a52e-6cb0-11ed-8abf-dac502259ad0.png

f94ccf10-6cb0-11ed-8abf-dac502259ad0.png

導(dǎo)入外部UDF文件

f97ef6fc-6cb0-11ed-8abf-dac502259ad0.pngf99b8b96-6cb0-11ed-8abf-dac502259ad0.png

點(diǎn)擊菜單欄User-Defined

點(diǎn)擊Functions,選擇第二個(gè)Compiled

選擇需要的UDF文件

點(diǎn)擊Build,點(diǎn)擊加載Load

邊界層網(wǎng)格分離

f9babc96-6cb0-11ed-8abf-dac502259ad0.png

f9e2fdfa-6cb0-11ed-8abf-dac502259ad0.png

fa29d2c0-6cb0-11ed-8abf-dac502259ad0.png

點(diǎn)擊菜單欄Domain

選擇Adapt,點(diǎn)擊Manage…

選擇Cell Registers,點(diǎn)擊New

點(diǎn)擊boundary

fa3f0096-6cb0-11ed-8abf-dac502259ad0.png

fa5bf520-6cb0-11ed-8abf-dac502259ad0.png

選擇Boundary zone 中的fsi

設(shè)置number of cells 為相應(yīng)邊界層網(wǎng)格的層數(shù)

點(diǎn)擊save/display

點(diǎn)擊close關(guān)閉

fa7d157a-6cb0-11ed-8abf-dac502259ad0.png

點(diǎn)擊Domain 中的 separate,選擇cell…

選擇boundary_0和part-dongquyu

點(diǎn)擊separate

點(diǎn)擊close關(guān)閉

邊界運(yùn)動(dòng)或變形的指定

fa94ee20-6cb0-11ed-8abf-dac502259ad0.png

faa21c30-6cb0-11ed-8abf-dac502259ad0.png

fac12210-6cb0-11ed-8abf-dac502259ad0.png

點(diǎn)擊動(dòng)網(wǎng)格部分的dynamic mesh zones的create

Zone name 選擇為fsi

Type 選擇為剛體運(yùn)動(dòng) Rigid body

UDF選擇導(dǎo)入的fluid::libudf

將分離出的邊界層網(wǎng)格part-dongquyu:013進(jìn)行相同的操作

其余默認(rèn),點(diǎn)擊close關(guān)閉

步驟八 Reference Values

選擇模型樹節(jié)點(diǎn) Reference Values,如圖所示

fae3f34e-6cb0-11ed-8abf-dac502259ad0.png

Compute from 選項(xiàng)選擇為 inlet

Area 設(shè)置為0.0381

Density設(shè)置為998

其余保持默認(rèn)

步驟九 Solution Methods

此模型保持默認(rèn)參數(shù)

Step 11 :Solution Controls

保持默認(rèn)參數(shù)

步驟十 Monitors

選擇模型樹節(jié)點(diǎn) Monitors,如圖所示,選擇Report Files,鼠標(biāo)右鍵,點(diǎn)擊edit‘’

fafc87c4-6cb0-11ed-8abf-dac502259ad0.png

點(diǎn)擊report files 中new…

點(diǎn)擊new report file中的new,選擇force report,點(diǎn)擊lift…

fb216c42-6cb0-11ed-8abf-dac502259ad0.png

Name 可自己命名名字

點(diǎn)擊lift force,選擇fsi

勾選report file和report plot

點(diǎn)擊OK,關(guān)閉窗口即可

步驟十一 Initialization

點(diǎn)擊模型樹中的 initialization,出現(xiàn)如圖所示窗口

fb7cb75a-6cb0-11ed-8abf-dac502259ad0.png

選擇 Standard Initialization

Compute from 選擇 inlet

點(diǎn)擊 initialize

步驟十二 Calculation activities

雙擊 solution animations,如圖所示

fba19f98-6cb0-11ed-8abf-dac502259ad0.png

點(diǎn)擊new object

選擇contours…,如圖所示

fbd8658c-6cb0-11ed-8abf-dac502259ad0.png

fbf03086-6cb0-11ed-8abf-dac502259ad0.png

fc16f1c6-6cb0-11ed-8abf-dac502259ad0.png

云圖Contours of 選擇 為velocity

點(diǎn)擊save,出現(xiàn)初始速度云圖

鼠標(biāo)滾輪調(diào)整到合適窗口,選擇contour2,點(diǎn)擊use active

點(diǎn)擊OK

步驟十三 Run calculation

點(diǎn)擊左側(cè)模型樹節(jié)點(diǎn)Run calculation ,出現(xiàn) Run calculation 面板,如圖所示。

fc39536a-6cb0-11ed-8abf-dac502259ad0.png

將number of time steps 設(shè)置為需要時(shí)間步數(shù)

每一個(gè)時(shí)間步時(shí)長(zhǎng) time step size設(shè)置為0.01

點(diǎn)擊calculate,進(jìn)行計(jì)算

步驟十四 查看計(jì)算結(jié)果

點(diǎn)擊模型樹Results,可查看殘差曲線、各種云圖(速度、壓力等),速度矢量圖、動(dòng)畫等

fc653750-6cb0-11ed-8abf-dac502259ad0.png
fc810b10-6cb0-11ed-8abf-dac502259ad0.pngfc9a14d4-6cb0-11ed-8abf-dac502259ad0.png






審核編輯:劉清

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • UDF
    UDF
    +關(guān)注

    關(guān)注

    0

    文章

    4

    瀏覽量

    6459
  • mesh模塊
    +關(guān)注

    關(guān)注

    1

    文章

    5

    瀏覽量

    1385

原文標(biāo)題:基于Fluent二自由度圓柱渦激振動(dòng)數(shù)值模擬與應(yīng)用研究

文章出處:【微信號(hào):sim_ol,微信公眾號(hào):模擬在線】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    DSP在六自由度電磁跟蹤系統(tǒng)中的應(yīng)用

    自由度電磁跟蹤系統(tǒng)根據(jù)電磁感應(yīng)的原理來(lái)計(jì)算出目標(biāo)的六個(gè)自由度參數(shù),從而唯一確定目標(biāo)的位置和姿態(tài)。怎樣由接收到的模擬信號(hào)計(jì)算得到目標(biāo)的位置和姿態(tài)參數(shù)是影響整個(gè)系統(tǒng)性能的關(guān)鍵所在。在一些早期的產(chǎn)品中對(duì)感應(yīng)天線接收信號(hào)的處理主要采用
    發(fā)表于 01-25 00:11 ?1271次閱讀
    DSP在六<b class='flag-5'>自由度</b>電磁跟蹤系統(tǒng)中的應(yīng)用

    汽車駕駛模擬自由度運(yùn)動(dòng)系統(tǒng)

    汽車駕駛模擬自由度運(yùn)動(dòng)系統(tǒng)
    發(fā)表于 08-06 13:06

    請(qǐng)問(wèn)如何模擬彈簧阻尼振動(dòng)系統(tǒng)?

    我想模擬自由度阻尼振動(dòng),并采集振動(dòng)速度信號(hào),請(qǐng)問(wèn)應(yīng)該如何實(shí)現(xiàn)?
    發(fā)表于 04-29 00:25

    自由度兩彈簧系統(tǒng)振動(dòng)實(shí)驗(yàn)設(shè)計(jì)與分析

    設(shè)計(jì)了自由度兩彈簧振子系統(tǒng),并進(jìn)行了系統(tǒng)理論模態(tài)分析與ANSYS仿真,通過(guò)激振實(shí)驗(yàn)對(duì)系統(tǒng)進(jìn)行了頻率響應(yīng)特性和模態(tài)分析,研究了系統(tǒng)振動(dòng)動(dòng)態(tài)特性測(cè)試。研究結(jié)果表明,實(shí)
    發(fā)表于 02-28 17:07 ?21次下載

    基于單親遺傳算法自由度PID控制器設(shè)計(jì)

    針對(duì)自由度PID 控制器參數(shù)整定困難的問(wèn)題,提出了一種基于單親遺傳算法的自由度參數(shù)整定方法。運(yùn)用該算法分別對(duì)單自由度PID 控制器和
    發(fā)表于 12-26 13:56 ?23次下載

    SIMTEC HEXADRIVE 6自由度運(yùn)動(dòng)模擬平臺(tái)

    SimTec仿真技術(shù)公司總部位于德國(guó)北部Braunschweig,是一家擁有超過(guò)20年歷史的國(guó)際領(lǐng)先的運(yùn)動(dòng)仿真系統(tǒng)制造公司。 SimTec專門電液伺服液多自由度運(yùn)動(dòng)模擬系統(tǒng)及運(yùn)動(dòng)數(shù)據(jù)采集系統(tǒng)的的軟硬
    發(fā)表于 04-07 16:34 ?22次下載

    自由度內(nèi)模控制方法

    針對(duì)典型的工業(yè)過(guò)程控制對(duì)象,提出了一種自由度內(nèi)??刂品椒?此方法可以使系統(tǒng)同時(shí)具有良好的目標(biāo)值跟蹤特性、干擾抑制特性和魯棒性,改進(jìn)了常規(guī)內(nèi)??刂频牟蛔?而且控制算法簡(jiǎn)
    發(fā)表于 05-23 15:12 ?27次下載
    <b class='flag-5'>二</b><b class='flag-5'>自由度</b>內(nèi)模控制方法

    自由度轉(zhuǎn)臺(tái)的設(shè)計(jì)與實(shí)現(xiàn)

    基于MSP430F149單片機(jī)設(shè)計(jì)了一種三自由度轉(zhuǎn)臺(tái)系統(tǒng)。在該系統(tǒng)中,利用旋轉(zhuǎn)編碼器的信息反饋,通過(guò)對(duì)電機(jī)的閉環(huán)控制,使三自由度轉(zhuǎn)臺(tái)能夠模擬飛機(jī)的飛行姿態(tài)。
    發(fā)表于 09-26 13:58 ?2473次閱讀
    三<b class='flag-5'>自由度</b>轉(zhuǎn)臺(tái)的設(shè)計(jì)與實(shí)現(xiàn)

    自由度行波型超聲波電機(jī)定子的優(yōu)化研究

    自由度行波型超聲波電機(jī)定子的優(yōu)化研究_傅平
    發(fā)表于 01-04 16:45 ?1次下載

    基于自由度模型驅(qū)動(dòng)PID的CFB鍋爐床溫控制_吳丹丹

    基于自由度模型驅(qū)動(dòng)PID的CFB鍋爐床溫控制_吳丹丹
    發(fā)表于 01-13 21:36 ?0次下載

    自由度汽車油氣懸架系統(tǒng)模型

    建立了自由度汽車油氣懸架系統(tǒng)模型,分析了油氣懸架和車身模型的非線性特性。運(yùn)用AMEsim仿真軟件,通過(guò)模型對(duì)不同的振頻率的時(shí)域和頻譜響應(yīng)分析,驗(yàn)證了油氣懸架非線性振動(dòng)模型的正確性。
    發(fā)表于 01-16 16:49 ?2次下載
    <b class='flag-5'>二</b><b class='flag-5'>自由度</b>汽車油氣懸架系統(tǒng)模型

    風(fēng)電機(jī)組如何避免振動(dòng)

    假若塔筒的自振頻率與漩渦的發(fā)放頻率相接近,就會(huì)使塔筒發(fā)生共振破壞,因此這種振動(dòng)是極其有害的,而風(fēng)機(jī)設(shè)備高昂的造價(jià)使其無(wú)法承受一次這種類型的破壞,因此,最大限度地避免被
    的頭像 發(fā)表于 06-09 11:20 ?1.2w次閱讀

    淺談工業(yè)機(jī)器人自由度

    工業(yè)機(jī)器人自由度一般分為4個(gè)自由度,或者6個(gè)自由度,關(guān)節(jié)機(jī)器人主要是模仿人的手臂進(jìn)行設(shè)計(jì)的。
    發(fā)表于 03-16 12:20 ?2643次閱讀

    如何制作一個(gè)2自由度并聯(lián)仿生腿?

    本文實(shí)例將實(shí)現(xiàn)2自由度并聯(lián)仿生腿模組運(yùn)動(dòng)起來(lái),模擬實(shí)現(xiàn)狗腿行走的動(dòng)作。
    的頭像 發(fā)表于 06-18 10:35 ?493次閱讀
    如何制作一個(gè)2<b class='flag-5'>自由度</b>并聯(lián)仿生腿?

    基于Simulink的汽車2自由度模型

    本期采用Simulink建立汽車2自由度動(dòng)力學(xué)模型。為了便于掌握汽車穩(wěn)定性的基本特性,對(duì)汽車簡(jiǎn)化為線性自由度的汽車模型,忽略轉(zhuǎn)向系統(tǒng)的影響,直接一前輪轉(zhuǎn)角作為輸入;忽略懸架的作用,認(rèn)為汽車車廂只作用于地面的平面運(yùn)動(dòng)。
    發(fā)表于 06-28 16:39 ?2135次閱讀
    基于Simulink的汽車2<b class='flag-5'>自由度</b>模型