BJ-EPM CPLD開發(fā)板:VHDL入門例程5

2012年05月16日 11:02 來源:本站整理 作者:秩名 我要評論(0)



  -- Filename ﹕ MUX16.vhd

  -- Author ﹕ wuhouhang

  -- Description ﹕ 16位無符號數(shù)的乘法運算

  library IEEE;

  use IEEE.std_logic_1164.all;

  use IEEE.std_logic_arith.all;

  use IEEE.std_logic_unsigned.all;

  entity MUX16 is

  port(

  Clk: in STD_LOGIC; --50MHz輸入時鐘

  Rst_n: in STD_LOGIC; --低電平復(fù)位信號

  Mux_en_in: in STD_LOGIC; --乘法器運算使能信號。定義為0表示信號無效;定義為1表示讀入乘數(shù)和被乘數(shù),并將乘積復(fù)位清零。

  Mux_ain: in STD_LOGIC_VECTOR (15 downto 0); --輸入a(乘數(shù)),其數(shù)據(jù)位寬為16bit.

  Mux_bin: in STD_LOGIC_VECTOR (15 downto 0); --輸入b(被乘數(shù)),其數(shù)據(jù)位寬為16bit.

  Mux_yout: buffer STD_LOGIC_VECTOR (31 downto 0); --乘積輸出,其數(shù)據(jù)位寬為32bit.

  Mux_done_out: out STD_LOGIC --乘法運算完成標(biāo)志位。1表示乘法運算完成。

  );

  end entity MUX16;

  --640ms計數(shù)產(chǎn)生0-F遞增數(shù)值

  

123下一頁

本文導(dǎo)航

標(biāo)簽:VHDL(159)分頻計數(shù)器(5)J-EPM CPLD(4)