BJ-EPM CPLD開發(fā)板:VHDL入門例程4

2012年05月16日 11:04 來源:本站整理 作者:秩名 我要評論(0)



  -- Filename ﹕ LED_SEG7.vhd

  -- Author ﹕ wuhouhang

  -- Description ﹕ 2位數(shù)碼管每隔640ms從0-F循環(huán)遞增顯示

  library IEEE;

  use IEEE.std_logic_1164.all;

  use IEEE.std_logic_arith.all;

  use IEEE.std_logic_unsigned.all;

  entity LED_SEG7 is

  port(

  Clk: in STD_LOGIC; --50MHz輸入時鐘

  Rst_n: in STD_LOGIC; --低電平復位信號

  Sm_cs_n_out: out STD_LOGIC_VECTOR (1 downto 0); --2位數(shù)碼管位選信號,低電平有效

  Sm_db_out: buffer STD_LOGIC_VECTOR (6 downto 0) --2位數(shù)碼管斷選信號(不包括小數(shù)點)

  );

  end entity LED_SEG7;

  --640ms計數(shù)產(chǎn)生0-F遞增數(shù)值

  

123下一頁

本文導航