0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

標(biāo)簽 > rtl

rtl

rtl

+關(guān)注1人關(guān)注

RTL在電子科學(xué)中指的是寄存器轉(zhuǎn)換級(jí)電路(Register Transfer Level)的縮寫(xiě),也叫暫存器轉(zhuǎn)移層次。

文章:348個(gè) 瀏覽:59534 帖子:96個(gè)

rtl技術(shù)

如何脫離Vivado建立單獨(dú)仿真環(huán)境軟件呢?

如何脫離Vivado建立單獨(dú)仿真環(huán)境軟件呢?

FPGA項(xiàng)目開(kāi)發(fā)的過(guò)程中,需要完成設(shè)計(jì)代碼開(kāi)發(fā)、驗(yàn)證環(huán)境搭建、仿真分析、板級(jí)驗(yàn)證等操作,在這個(gè)過(guò)程中,許多操作雖然必不可少但是步驟是重復(fù)的。

2023-09-27 標(biāo)簽:fpgaFPGA設(shè)計(jì)仿真 1127 0

為什么SoC驗(yàn)證一定需要FPGA原型驗(yàn)證呢??

在現(xiàn)代SoC芯片驗(yàn)證過(guò)程中,不可避免的都會(huì)使用FPGA原型驗(yàn)證,或許原型驗(yàn)證一詞對(duì)你而言非常新鮮,但是FPGA上板驗(yàn)證應(yīng)該是非常熟悉的場(chǎng)景了。

2023-03-28 標(biāo)簽:fpgaHDMIsoc 1126 0

如何使用display提高debug效率呢?

如何使用display提高debug效率呢?

在verilog中雖然沒(méi)有system verilog的assertion,但是我們依舊可以使用display打印檢查各類錯(cuò)誤,在RTL級(jí)的仿真中能夠快...

2023-08-27 標(biāo)簽:芯片設(shè)計(jì)仿真器RTL 1123 0

在RTL編碼中考慮延時(shí)、面積、功耗與布線的問(wèn)題

在RTL編碼中考慮延時(shí)、面積、功耗與布線的問(wèn)題

看下面一段代碼,第四個(gè)else if 分支中的數(shù)據(jù)信號(hào)DATA_is_late_arriving延時(shí)比較高,要怎么處理,將電路的性能提高?

2023-06-05 標(biāo)簽:RAM比較器加法器 1122 0

簡(jiǎn)述Vivado中的Elaborate的作用

簡(jiǎn)述Vivado中的Elaborate的作用

在Vivado的界面中,有個(gè)RTL ANALYSIS->Open Elaborated Design的選項(xiàng),可能很多工程師都沒(méi)有使用過(guò)。因?yàn)榇蠹一?..

2023-05-05 標(biāo)簽:RTLdesignVivado 1116 0

如何用RTL原語(yǔ)實(shí)現(xiàn)MUX門(mén)級(jí)映射呢?

如何用RTL原語(yǔ)實(shí)現(xiàn)MUX門(mén)級(jí)映射呢?

對(duì)于前端設(shè)計(jì)人員,經(jīng)常會(huì)需要一個(gè)MUX來(lái)對(duì)工作模式,數(shù)據(jù)路徑進(jìn)行明確(explicit)的聲明,這個(gè)對(duì)于中后端工程師下約束也很重要。這里介紹一種巧用的R...

2023-12-14 標(biāo)簽:HDLRTLMux 1115 0

SystemVerilog中的聯(lián)合(union)介紹

SystemVerilog中的聯(lián)合(union)介紹

在 SystemVerilog 中,聯(lián)合只是信號(hào),可通過(guò)不同名稱和縱橫比來(lái)加以引用。

2023-10-08 標(biāo)簽:RTLVerilog語(yǔ)言 1111 0

各種類型CDC路徑中的毛刺問(wèn)題

CDC 驗(yàn)證不僅在 RTL 有必要,在門(mén)級(jí)也必不可少。在 RTL,重點(diǎn)是通過(guò)識(shí)別 CDC 結(jié)構(gòu)和方案來(lái)確定時(shí)鐘域和 CDC 路徑。

2023-03-30 標(biāo)簽:RTLCDC 1104 0

談?wù)剮追N芯片設(shè)計(jì)增加代碼復(fù)用性的方法

談?wù)剮追N芯片設(shè)計(jì)增加代碼復(fù)用性的方法

很多芯片在設(shè)計(jì)之初,就已經(jīng)考慮如何增加代碼的復(fù)用性,盡量減少工作量,降低錯(cuò)誤概率。

2023-09-12 標(biāo)簽:fpga加速器芯片設(shè)計(jì) 1097 0

ASIC物理設(shè)計(jì)流程概述

ASIC物理設(shè)計(jì)流程概述

Physical design是將電路描述(circuit description)轉(zhuǎn)化成物理版圖(physical layout)的過(guò)程。

2023-05-23 標(biāo)簽:RTLSTDASIC技術(shù) 1093 0

FPGA原型驗(yàn)證系統(tǒng)的時(shí)鐘門(mén)控

門(mén)控時(shí)鐘是一種在系統(tǒng)不需要?jiǎng)幼鲿r(shí),關(guān)閉特定塊的時(shí)鐘的方法,目前很多低功耗SoC設(shè)計(jì)都將其用作節(jié)省動(dòng)態(tài)功率的有效技術(shù)。

2023-04-20 標(biāo)簽:SoC設(shè)計(jì)RTL門(mén)控時(shí)鐘 1088 0

為什么格雷碼可以輔助解決多bit跨時(shí)鐘域的問(wèn)題??求解

為什么格雷碼可以輔助解決多bit跨時(shí)鐘域的問(wèn)題??求解

單bit通過(guò)兩級(jí)同步打拍可以有效的解決亞穩(wěn)態(tài)問(wèn)題。

2024-03-08 標(biāo)簽:二進(jìn)制fifoRTL 1084 0

基于Verilog的經(jīng)典數(shù)字電路設(shè)計(jì)—計(jì)數(shù)器

基于Verilog的經(jīng)典數(shù)字電路設(shè)計(jì)—計(jì)數(shù)器

在數(shù)字系統(tǒng)中,使用得最多的時(shí)序電路差不多就是計(jì)數(shù)器了。計(jì)數(shù)器不僅能夠用于對(duì)時(shí)鐘脈沖計(jì)數(shù),還可以用于分頻、定時(shí)、產(chǎn)生節(jié)拍脈沖、產(chǎn)生脈沖序列以及進(jìn)行數(shù)字運(yùn)算等等。

2023-10-09 標(biāo)簽:計(jì)數(shù)器時(shí)序電路RTL 1078 0

從RTL追蹤到Scala

  在三天前SpinalHDL1.8.0正式上線,在這次更新中增加了Scala代碼和生成的RTL代碼之間的對(duì)照功能,也就是說(shuō)我們可以在生成的RTL代碼中...

2022-12-09 標(biāo)簽:RTL代碼scala 1075 0

仿真測(cè)試1:半加器

仿真測(cè)試1:半加器

做任何模塊前,要確定輸入輸出端口有哪些,有一個(gè)整體的概念;方便以后模塊調(diào)用;

2023-10-10 標(biāo)簽:EDA工具仿真器RTL 1069 0

低功耗貫穿芯片設(shè)計(jì)全流程

低功耗一直是便攜式電子設(shè)備的關(guān)鍵要求,但近年來(lái),在人工智能、5G、大數(shù)據(jù)中心、汽車等應(yīng)用快速發(fā)展的推動(dòng)下,對(duì)低功耗的需求已經(jīng)擴(kuò)散到更多的終端產(chǎn)品中。

2023-02-14 標(biāo)簽:soc散熱器RTL 1066 0

什么是FPGA的綜合和約束的關(guān)系?

什么是FPGA的綜合和約束的關(guān)系?

系統(tǒng)Verilog RTL模型-這些模型由設(shè)計(jì)工程師編寫(xiě),代表需要在ASIC或FPGA中實(shí)現(xiàn)的功能行為

2023-02-09 標(biāo)簽:fpgaasicVerilog 1055 0

使用abstrct model代替real model

使用abstrct model代替real model

“空間爆炸”大大增加了formal工具處理的復(fù)雜度,在有限的資源內(nèi),難以達(dá)到收斂。

2023-08-29 標(biāo)簽:處理器存儲(chǔ)器計(jì)數(shù)器 1045 0

IC驗(yàn)證入門(mén)基礎(chǔ)—Vim的配置

IC驗(yàn)證入門(mén)基礎(chǔ)—Vim的配置

Vim或者是gvim是我們IC設(shè)計(jì)或者驗(yàn)證工程師,日常工作當(dāng)中常用到的一個(gè)編輯器,我們的RTL代碼就是在vim當(dāng)中寫(xiě)的,而gvim是vim的圖形化界面,...

2023-06-15 標(biāo)簽:IC設(shè)計(jì)RTLVIM 1040 0

FPGA設(shè)計(jì)的IP和算法應(yīng)用綜述

FPGA設(shè)計(jì)的IP和算法應(yīng)用綜述

IP(Intelligent Property) 核是具有知識(shí)產(chǎn)權(quán)核的集成電路芯核總稱,是經(jīng)過(guò)反復(fù)驗(yàn)證過(guò)的、具有特定功能的宏模塊,與芯片制造工藝無(wú)關(guān),可...

2024-03-07 標(biāo)簽:FPGA設(shè)計(jì)寄存器EDA工具 1028 0

相關(guān)標(biāo)簽

相關(guān)話題

換一批
  • 高云半導(dǎo)體
    高云半導(dǎo)體
    +關(guān)注
    廣東高云半導(dǎo)體科技股份有限公司提供編程設(shè)計(jì)軟件、IP核、參考設(shè)計(jì)、演示板等服務(wù)的完整FPGA芯片解決方案。
  • Zedboard
    Zedboard
    +關(guān)注
    ZedBoard是基于Xilinx Zynq?-7000擴(kuò)展式處理平臺(tái)(EPP)的低成本開(kāi)發(fā)板。此板可以運(yùn)行基于Linux,Android,Windows?或其他OS/ RTOS的設(shè)計(jì)。
  • I2S
    I2S
    +關(guān)注
    I2S總線, 又稱 集成電路內(nèi)置音頻總線,是飛利浦公司為數(shù)字音頻設(shè)備之間的音頻數(shù)據(jù)傳輸而制定的一種總線標(biāo)準(zhǔn),該總線專門(mén)用于音頻設(shè)備之間的數(shù)據(jù)傳輸,廣泛應(yīng)用于各種多媒體系統(tǒng)。
  • SoC FPGA
    SoC FPGA
    +關(guān)注
  • 簡(jiǎn)單PLD
    簡(jiǎn)單PLD
    +關(guān)注
  • UltraScale
    UltraScale
    +關(guān)注
  • 邏輯芯片
    邏輯芯片
    +關(guān)注
    邏輯芯片又叫可編程邏輯器件,英文全稱為:programmable logic device 即 PLD。PLD是做為一種通用集成電路產(chǎn)生的,他的邏輯功能按照用戶對(duì)器件編程來(lái)確定。一般的PLD的集成度很高,足以滿足設(shè)計(jì)一般的數(shù)字系統(tǒng)的需要。
  • 16nm
    16nm
    +關(guān)注
  • 三人表決器
    三人表決器
    +關(guān)注
  • Samtec
    Samtec
    +關(guān)注
    Samtec(申泰)公司是一家總部位于美國(guó),致力于研發(fā)和生產(chǎn)高速數(shù)據(jù)通信連接器的供應(yīng)商,Samtec連接器使用100%液晶聚合物以及純磷青銅和鈹銅制造,擁有軍品級(jí)的技術(shù)參數(shù),其產(chǎn)品包括各種通用標(biāo)準(zhǔn)的連接器以及通信線纜,并且為客戶提供解決方案。
  • NCO
    NCO
    +關(guān)注
  • HLS
    HLS
    +關(guān)注
    HLS(HTTP Live Streaming)是Apple的動(dòng)態(tài)碼率自適應(yīng)技術(shù)。主要用于PC和Apple終端的音視頻服務(wù)。包括一個(gè)m3u(8)的索引文件,TS媒體分片文件和key加密串文件。
  • 信息娛樂(lè)系統(tǒng)
    信息娛樂(lè)系統(tǒng)
    +關(guān)注
  • 顯示模塊
    顯示模塊
    +關(guān)注
  • 京微雅格
    京微雅格
    +關(guān)注
      京微雅格(北京)科技有限公司致力于為系統(tǒng)制造商提供高集成度、高靈活性、高性價(jià)比的可編程邏輯器件、可重構(gòu)微處理器及相關(guān)軟件設(shè)計(jì)工具
  • 智能魔鏡
    智能魔鏡
    +關(guān)注
    隨著物聯(lián)網(wǎng)技術(shù)的發(fā)展,搭載這一技術(shù)的家電也越來(lái)越多的出現(xiàn),今年十分火熱的智能音箱就是物聯(lián)網(wǎng)技術(shù)和人工智能結(jié)合的代表,智能魔鏡這種基安防,終端,自動(dòng)化,人工智能的物聯(lián)網(wǎng)產(chǎn)品已經(jīng)成為了不可阻擋的趨勢(shì),在未來(lái),將更加全面、智能、便捷的走進(jìn)越來(lái)越多人們的生活。
  • Cyclone V
    Cyclone V
    +關(guān)注
  • iCE40
    iCE40
    +關(guān)注
      為了滿足市場(chǎng)需求,萊迪思發(fā)布了iCE40 Ultra?產(chǎn)品系列。據(jù)萊迪思總裁兼CEO Darin G. Billerbeck介紹,相比競(jìng)爭(zhēng)對(duì)手的解決方案,iCE40 Ultra FPGA在提供5倍更多功能的同時(shí)減小了30%的尺寸。并且相比以前的器件,功耗降低高達(dá)75%。
  • 空中客車
    空中客車
    +關(guān)注
    空中客車公司(Airbus,又稱空客、空中巴士),是歐洲一家飛機(jī)制造 、研發(fā)公司,1970年12月于法國(guó)成立。 空中客車公司的股份由歐洲宇航防務(wù)集團(tuán)公司(EADS)100%持有。
  • 工業(yè)電機(jī)
    工業(yè)電機(jī)
    +關(guān)注
  • 諧振變換器
    諧振變換器
    +關(guān)注
    諧振變換器主要包括三種基本的類型:串聯(lián)諧振變換器(SRC)、并聯(lián)諧振變換器(PRC)和串并聯(lián)諧振變換器(SPRC)。諧振變換器由開(kāi)關(guān)網(wǎng)絡(luò)Ns、諧振槽路NT、整流電路NR、低通濾波器NF等部分組成。
  • Digilent
    Digilent
    +關(guān)注
  • efpga
    efpga
    +關(guān)注
    eFPGA,全稱為嵌入式FPGA(Embedded FPGA),顧名思義是將類似于FPGA的可編程邏輯陣列“嵌入”到ASIC或SoC中。
  • 國(guó)產(chǎn)FPGA
    國(guó)產(chǎn)FPGA
    +關(guān)注
  • 圖像信號(hào)處理器
    圖像信號(hào)處理器
    +關(guān)注
  • UltraScale架構(gòu)
    UltraScale架構(gòu)
    +關(guān)注
  • 時(shí)鐘驅(qū)動(dòng)器
    時(shí)鐘驅(qū)動(dòng)器
    +關(guān)注
  • 數(shù)字預(yù)失真
    數(shù)字預(yù)失真
    +關(guān)注
  • TMS320C6416
    TMS320C6416
    +關(guān)注
  • BB-Black
    BB-Black
    +關(guān)注
換一批

關(guān)注此標(biāo)簽的用戶(1人)

中國(guó)企業(yè)家聯(lián)盟

編輯推薦廠商產(chǎn)品技術(shù)軟件/工具OS/語(yǔ)言教程專題

電機(jī)控制 DSP 氮化鎵 功率放大器 ChatGPT 自動(dòng)駕駛 TI 瑞薩電子
BLDC PLC 碳化硅 二極管 OpenAI 元宇宙 安森美 ADI
無(wú)刷電機(jī) FOC IGBT 逆變器 文心一言 5G 英飛凌 羅姆
直流電機(jī) PID MOSFET 傳感器 人工智能 物聯(lián)網(wǎng) NXP 賽靈思
步進(jìn)電機(jī) SPWM 充電樁 IPM 機(jī)器視覺(jué) 無(wú)人機(jī) 三菱電機(jī) ST
伺服電機(jī) SVPWM 光伏發(fā)電 UPS AR 智能電網(wǎng) 國(guó)民技術(shù) Microchip
瑞薩 沁恒股份 全志 國(guó)民技術(shù) 瑞芯微 兆易創(chuàng)新 芯??萍?/a> Altium
德州儀器 Vishay Micron Skyworks AMS TAIYOYUDEN 納芯微 HARTING
adi Cypress Littelfuse Avago FTDI Cirrus LogIC Intersil Qualcomm
st Murata Panasonic Altera Bourns 矽力杰 Samtec 揚(yáng)興科技
microchip TDK Rohm Silicon Labs 圣邦微電子 安費(fèi)諾工業(yè) ixys Isocom Compo
安森美 DIODES Nidec Intel EPSON 樂(lè)鑫 Realtek ERNI電子
TE Connectivity Toshiba OMRON Sensirion Broadcom Semtech 旺宏 英飛凌
Nexperia Lattice KEMET 順絡(luò)電子 霍尼韋爾 pulse ISSI NXP
Xilinx 廣瀨電機(jī) 金升陽(yáng) 君耀電子 聚洵 Liteon 新潔能 Maxim
MPS 億光 Exar 菲尼克斯 CUI WIZnet Molex Yageo
Samsung 風(fēng)華高科 WINBOND 長(zhǎng)晶科技 晶導(dǎo)微電子 上海貝嶺 KOA Echelon
Coilcraft LRC trinamic
放大器 運(yùn)算放大器 差動(dòng)放大器 電流感應(yīng)放大器 比較器 儀表放大器 可變?cè)鲆娣糯笃? 隔離放大器
時(shí)鐘 時(shí)鐘振蕩器 時(shí)鐘發(fā)生器 時(shí)鐘緩沖器 定時(shí)器 寄存器 實(shí)時(shí)時(shí)鐘 PWM 調(diào)制器
視頻放大器 功率放大器 頻率轉(zhuǎn)換器 揚(yáng)聲器放大器 音頻轉(zhuǎn)換器 音頻開(kāi)關(guān) 音頻接口 音頻編解碼器
模數(shù)轉(zhuǎn)換器 數(shù)模轉(zhuǎn)換器 數(shù)字電位器 觸摸屏控制器 AFE ADC DAC 電源管理
線性穩(wěn)壓器 LDO 開(kāi)關(guān)穩(wěn)壓器 DC/DC 降壓轉(zhuǎn)換器 電源模塊 MOSFET IGBT
振蕩器 諧振器 濾波器 電容器 電感器 電阻器 二極管 晶體管
變送器 傳感器 解析器 編碼器 陀螺儀 加速計(jì) 溫度傳感器 壓力傳感器
電機(jī)驅(qū)動(dòng)器 步進(jìn)驅(qū)動(dòng)器 TWS BLDC 無(wú)刷直流驅(qū)動(dòng)器 濕度傳感器 光學(xué)傳感器 圖像傳感器
數(shù)字隔離器 ESD 保護(hù) 收發(fā)器 橋接器 多路復(fù)用器 氮化鎵 PFC 數(shù)字電源
開(kāi)關(guān)電源 步進(jìn)電機(jī) 無(wú)線充電 LabVIEW EMC PLC OLED 單片機(jī)
5G m2m DSP MCU ASIC CPU ROM DRAM
NB-IoT LoRa Zigbee NFC 藍(lán)牙 RFID Wi-Fi SIGFOX
Type-C USB 以太網(wǎng) 仿真器 RISC RAM 寄存器 GPU
語(yǔ)音識(shí)別 萬(wàn)用表 CPLD 耦合 電路仿真 電容濾波 保護(hù)電路 看門(mén)狗
CAN CSI DSI DVI Ethernet HDMI I2C RS-485
SDI nas DMA HomeKit 閾值電壓 UART 機(jī)器學(xué)習(xí) TensorFlow
Arduino BeagleBone 樹(shù)莓派 STM32 MSP430 EFM32 ARM mbed EDA
示波器 LPC imx8 PSoC Altium Designer Allegro Mentor Pads
OrCAD Cadence AutoCAD 華秋DFM Keil MATLAB MPLAB Quartus
C++ Java Python JavaScript node.js RISC-V verilog Tensorflow
Android iOS linux RTOS FreeRTOS LiteOS RT-THread uCOS
DuerOS Brillo Windows11 HarmonyOS
林超文PCB設(shè)計(jì):PADS教程,PADS視頻教程 鄭振宇老師:Altium Designer教程,Altium Designer視頻教程
張飛實(shí)戰(zhàn)電子視頻教程 朱有鵬老師:海思HI3518e教程,HI3518e視頻教程
李增老師:信號(hào)完整性教程,高速電路仿真教程 華為鴻蒙系統(tǒng)教程,HarmonyOS視頻教程
賽盛:EMC設(shè)計(jì)教程,EMC視頻教程 杜洋老師:STM32教程,STM32視頻教程
唐佐林:c語(yǔ)言基礎(chǔ)教程,c語(yǔ)言基礎(chǔ)視頻教程 張飛:BUCK電源教程,BUCK電源視頻教程
正點(diǎn)原子:FPGA教程,F(xiàn)PGA視頻教程 韋東山老師:嵌入式教程,嵌入式視頻教程
張先鳳老師:C語(yǔ)言基礎(chǔ)視頻教程 許孝剛老師:Modbus通訊視頻教程
王振濤老師:NB-IoT開(kāi)發(fā)視頻教程 Mill老師:FPGA教程,Zynq視頻教程
C語(yǔ)言視頻教程 RK3566芯片資料合集
朱有鵬老師:U-Boot源碼分析視頻教程 開(kāi)源硬件專題