電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>制造/封裝>半導(dǎo)體技術(shù)>半導(dǎo)體新聞>TSMC認(rèn)證Synopsys IC Compiler II適合10-nm FinFET生產(chǎn)

TSMC認(rèn)證Synopsys IC Compiler II適合10-nm FinFET生產(chǎn)

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴

評論

查看更多

相關(guān)推薦

三星使用EUV成功完成5nm FinFET工藝開發(fā)

16日,三星電子宣布在基于EUV的高級節(jié)點(diǎn)方面取得了重大進(jìn)展,包括7nm批量生產(chǎn)和6nm客戶流片,以及成功完成5nm FinFET工藝的開發(fā)。 三星電子宣布其5納米(nmFinFET工藝技術(shù)的開發(fā)
2019-04-18 15:48:476010

中國第一條FinFET生產(chǎn)線開始批量生產(chǎn)14 nm FinFET芯片

作為業(yè)界少數(shù)幾家加入FinFET俱樂部的公司,中芯國際已經(jīng)開始使用其14 nm FinFET制造技術(shù)批量生產(chǎn)芯片。該公司設(shè)法開發(fā)了依賴于此類晶體管的制造工藝。有點(diǎn)遺憾的是,中芯國際的FinFET
2019-11-19 10:40:266858

Cadence攜手TSMC開發(fā)3D IC設(shè)計基礎(chǔ)架構(gòu)

全球電子設(shè)計創(chuàng)新企業(yè)Cadence設(shè)計系統(tǒng)公司日前宣布其與TSMC在3D IC設(shè)計基礎(chǔ)架構(gòu)開發(fā)方面的合作。
2012-06-11 09:47:431071

Synopsys提供基于FinFET技術(shù)的半導(dǎo)體設(shè)計綜合解決方案

新思科技公司(Synopsys)在過去五年多與行業(yè)領(lǐng)導(dǎo)者合作共同開發(fā)了對FinFET技術(shù)的支持,通過提供經(jīng)生產(chǎn)驗證的設(shè)計工具與IP來推進(jìn)對FinFET技術(shù)的采用。
2013-02-19 10:42:54823

16nm/14nm FinFET技術(shù):開創(chuàng)電子業(yè)界全新紀(jì)元

16nm/14nm FinFET技術(shù)將是一個Niche技術(shù),或者成為IC設(shè)計的主流?歷史證明,每當(dāng)創(chuàng)新出現(xiàn),人們就會勾勒如何加以利用以實現(xiàn)新的、而且往往是意想不到的價值。FinFET技術(shù)將開啟電腦、通信和所有類型消費(fèi)電子產(chǎn)品的大躍進(jìn)時代。
2013-03-28 09:26:472161

Cadence設(shè)計工具通過臺積電16nm FinFET制程認(rèn)證

Cadence系統(tǒng)芯片開發(fā)工具已經(jīng)通過臺積電(TSMC) 16納米 FinFET制程的設(shè)計參考手冊第0.1版與 SPICE 模型工具認(rèn)證,客戶現(xiàn)在可以享用Cadence益華電腦流程為先進(jìn)制程所提供的速度、功耗與面積優(yōu)勢。
2013-06-06 09:26:451236

聯(lián)電完成14nm制程FinFET結(jié)構(gòu)晶體管芯片流片

Synopsys 的協(xié)助下,臺灣聯(lián)電(UMC)首款基于14nm制程及FinFET晶體管技術(shù)的測試用芯片日前完成了流片。聯(lián)電公司早前曾宣布明年下半年有意啟動14nm 制程FinFET產(chǎn)品的制造,而這
2013-06-28 09:57:581023

16納米來了!臺積電試產(chǎn)16nm FinFET Plus

昨日臺積電官方宣布,16nm FinFET Plus(簡稱16FF+)工藝已經(jīng)開始風(fēng)險性試產(chǎn)。16FF+是標(biāo)準(zhǔn)的16nm FinFET的增強(qiáng)版本,同樣有立體晶體管技術(shù)在內(nèi),號稱可比20nm SoC平面工藝性能提升最多40%,或者同頻功耗降低最多50%。
2014-11-14 09:31:582127

7nm 來了! Xilinx宣布與TSMC開展7nm工藝合作

All Programmable 技術(shù)和器件的全球領(lǐng)先企業(yè)賽靈思公司(Xilinx, Inc. (NASDAQ:XLNX))今天宣布其與臺積公司( TSMC)已經(jīng)就7nm工藝和3D IC技術(shù)開展合作,共同打造其下一代All Programmable FPGA、MPSoC和3D IC。
2015-05-29 09:09:491802

Intel、三星、TSMC工藝制程,瘋狂進(jìn)行時!

TSMCFinFET工藝量產(chǎn)上落后于Intel、三星,不過他們在10nm及之后的工藝上很自信,2020年就會量產(chǎn)5nm工藝,還會用上EUV光刻工藝。
2016-07-18 10:47:09989

AMD處理器將跳過10nm直奔7nm竟是因為格羅方德!

2016年各大晶圓廠的主流工藝都是14/16nm FinFET工藝,Intel、TSMC及三星明年還要推10nm工藝,由于Intel也要進(jìn)軍10nm代工了,這三家免不了一場大戰(zhàn)。但是另一家代工廠
2016-08-17 16:59:402693

三星開始大規(guī)模生產(chǎn)10nm FinFET SoC

今日,三星電子正式宣布已經(jīng)開始大規(guī)模生產(chǎn)基于10nm FinFET技術(shù)的SoC,這是業(yè)界內(nèi)首家提供10nm工藝代工廠商。新工藝下的SoC性能可以提供27%,功耗將降低40%。
2016-10-17 14:07:01873

Synopsys IC Validator工具獲得GLOBALFOUNDRIES(GF)認(rèn)證

全球第一大芯片自動化設(shè)計解決方案提供商及全球第一大芯片接口IP供應(yīng)商、信息安全和軟件質(zhì)量的全球領(lǐng)導(dǎo)者Synopsys(NASDAQ:SNPS)宣布,Synopsys IC Validator工具已獲得GLOBALFOUNDRIES(GF)認(rèn)證,將用于GF 14LPP工藝技術(shù)的物理驗證Signoff。
2018-05-23 17:51:226817

Cadence 發(fā)布面向 TSMC 3nm 工藝的 112G-ELR SerDes IP 展示

Cadence 112G-ELR SerDes IP 系列產(chǎn)品的新成員。在后摩爾時代的趨勢下,FinFET 晶體管的體積在 TSMC 3nm 工藝下進(jìn)一步縮小,進(jìn)一步采用系統(tǒng)級封裝設(shè)計(SiP)。通過
2023-05-19 16:25:12784

FinFET(鰭型MOSFET)簡介

、90nm、65nm、45nm、32nm、22nm、14nm10nm...有人說5nm是半導(dǎo)體工藝的極限尺寸,也有人說1nm是半導(dǎo)體工藝的極限尺寸;iPhone6s的 A9處理器更出現(xiàn)了三星14nm
2017-01-06 14:46:20

IC認(rèn)證發(fā)證機(jī)構(gòu)

IC認(rèn)證發(fā)證機(jī)構(gòu)(一) IC:由獲得IC場地注冊代碼的實驗室發(fā)證(二) IC ID: 由FCB / TCB機(jī)構(gòu)審核發(fā)證
2016-12-23 10:35:41

IC認(rèn)證方式

IC認(rèn)證介紹?IC 是加拿大工業(yè)部Industry Canada的簡稱,作為***機(jī)構(gòu),負(fù)責(zé)電子電器產(chǎn)品進(jìn)入加拿大市場的認(rèn)證事務(wù)。其負(fù)責(zé)產(chǎn)品大致為廣播電視設(shè)備、信息技術(shù)設(shè)備、無線電設(shè)備、電信設(shè)備、工科醫(yī)設(shè)備等。IC認(rèn)證主要有兩種方式:IC 自我宣告; IC ID 認(rèn)證證書
2016-12-23 10:29:49

IC認(rèn)證時間周期及有效期

IC認(rèn)證時間周期及有效期(一)IC:1-2周;(二)IC ID:3-4周. 有效期標(biāo)準(zhǔn)過期更新
2016-12-12 09:30:45

IC設(shè)計:Synopsys2018 版本 EDA 工具免費(fèi)分享

IC設(shè)計:Synopsys2018 版本 EDA 工具免費(fèi)分享1. 下載的文件列表包含一下文件;加群Q:139869702ReadMe:文件就是現(xiàn)在你正在閱讀的文件,主要是詳細(xì)的說明軟件的使用和包含
2020-11-30 18:56:05

Synopsys 2018-2010 EDA軟件大全 License

Synopsys安裝包及破解LicenseVerdi,DC,VCS,DFT Compiler,ICC,ICC2,PrimeTime,Library Compiler,S FPGA,PrimePower,F(xiàn)ormality,Hspice,SpyGlass....
2020-02-07 23:57:49

Synopsys 仿真求助

各位大神,小弟現(xiàn)在在做運(yùn)用Synopsys 工具的仿真?,F(xiàn)在希望通過仿真模擬獲得網(wǎng)表中所有node的值(包括wire和output)。目前使用的軟件有design compiler, TetraMax 和VCS。 請問有沒有辦法可以實現(xiàn)?謝謝。
2017-09-07 02:48:47

TSMC350nm的工藝庫是不是不太適合做LC-VCO?。?/a>

TSMC 65nm HSPICE 蒙特卡羅分析,仿真出現(xiàn)錯誤,求助求助!

TSMC 65nm HSPICE 蒙特卡羅分析,仿真出現(xiàn)錯誤,有人做過嗎 .prot .lib 'D:\crn65lp_v1d5.l' mc.lib 'D:\crn65lp_v1d5.l
2013-06-21 16:10:47

synopsys lic

COMP ck=235PACKAGE EFA_Synopsys_2 snpslmd 2009.5 10E0A051DB52390448F9 COMP ck=221PACKAGE
2009-01-21 13:10:00

Fusion Compiler 最新數(shù)據(jù)手冊和學(xué)習(xí)資料分享

工藝認(rèn)證FinFET 和可識別多重圖形的設(shè)計Signoff 時序、寄生參數(shù)提取和功耗分析消除設(shè)計迭代從綜合到后期布線的高級區(qū)域恢復(fù)算法,以獲得最大利用率
2020-11-14 07:58:53

Fusion Design Platform?已實現(xiàn)重大7nm工藝?yán)锍瘫?/a>

NVIDIA上海/北京/深圳 招聘 Physical Design Engineer

areas.PREFERRED:MS inEngineering or Science.Knowledge in20nm or FinFET technology, circuit design
2014-06-18 10:43:09

[轉(zhuǎn)]臺積電借16nm FinFET Plus及InFO WLP 通吃英特爾蘋果

轉(zhuǎn)自http://www.eet-china.com/ART_8800697889_480201_NT_08124b24.HTM臺積電借16nm FinFET Plus及InFO WLP 通吃英特爾
2014-05-07 15:30:16

【集成電路】10nm技術(shù)節(jié)點(diǎn)大戰(zhàn)

增加SRAM單元數(shù)量。表1:鰭片的高度、寬度與間距差異:i8 vs. S8另一方面是材料的選擇,從圖4c、4d的EDS圖像顯示,兩種10nmFinFET成分組成是大同小異的,而且也沒有出現(xiàn)跟以往
2018-06-14 14:25:19

低功耗戰(zhàn)略優(yōu)勢

改進(jìn),使我們的高端StratixIIIFPGA能夠用于高性能計算領(lǐng)域,而低成本CycloneIIIFPGA用于軟件無線電,MaxIIZCPLD則適合便攜式應(yīng)用。 在生產(chǎn)工藝方面,Altera在很大程度
2019-07-16 08:28:35

小米6拋棄Helio X30 聯(lián)發(fā)科的高端夢還有戲嗎?

芯片除了核心數(shù)超過高通之外,在CPU性能、GPU性能、拍照、網(wǎng)絡(luò)等方面皆不如驍龍旗艦,而且制程工藝往往落后一代。因此,Helio X30原本規(guī)劃使用TSMC的16nm FinFET工藝,但同期的競品
2017-02-16 11:58:05

TSMC90nm的工藝庫,請問可以分享一下嗎?

TSMC90nm的工藝庫,請問可以分享一下嗎?
2021-06-22 06:21:52

求一份tsmc 7nm standard cell library

求一份tsmc 7nm standard cell library求一份28nm或者40nm 的數(shù)字庫
2021-06-25 06:39:25

求助大神們,有沒有TSMC90nm RF庫

求助大神們,需要TSMC90nm RF庫用于學(xué)習(xí)
2021-06-22 06:28:17

暢談20 nm技術(shù)發(fā)展前景

反對。TSMC以前曾有些模棱兩可,推進(jìn)了16 nm finFET半節(jié)點(diǎn)計劃。而影響最大的是,NVIDIA CEO Jen-Hsun Huang公開質(zhì)疑整個20 nm節(jié)點(diǎn)的經(jīng)濟(jì)可行性,他認(rèn)為,每個晶體管
2014-09-01 17:26:49

英特爾10nm難產(chǎn)的深層原因解析 精選資料分享

近日,SIA發(fā)了個聳人聽聞的新聞,說intel放棄了10nm工藝的研發(fā),當(dāng)然這肯定是假消息就是了,今天intel也出面辟謠。不過相信很多人也會覺得奇怪,那邊TSMC 7...
2021-07-26 08:10:47

請大神解釋一下28nm下是沒有MIM電容了嗎?

之前只用過tsmc 65nm的,在設(shè)置電感時候是有indcutor finder的工具的,28nm下沒有了嗎?只能自己掃描參數(shù)一個一個試?28nm下是沒有MIM電容了嗎?相關(guān)的模擬射頻器件(比如
2021-06-24 06:18:43

請問FinFET在系統(tǒng)級意味著什么?

大家都在談?wù)?b class="flag-6" style="color: red">FinFET——可以說,這是MOSFET自1960年商用化以來晶體管最大的變革。幾乎每個人——除了仍然熱心于全耗盡絕緣體硅薄膜(FDSOI)的人,都認(rèn)為20 nm節(jié)點(diǎn)以后,FinFET將成為SoC的未來。但是對于要使用這些SoC的系統(tǒng)開發(fā)人員而言,其未來會怎樣呢?
2019-09-27 06:59:21

FPGA Compiler II FPGA Express

, part of the Synopsys suiteof synthesis tools. FPGA Compiler II / FPGA Express reads an RTLVerilog HDL model of a discrete electro
2009-07-23 09:59:0621

FPGA的編譯之二、Verilog HDL語言的FPGA快速

, part of the Synopsys suiteof synthesis tools. FPGA Compiler II / FPGA Express reads an RTLVerilog HDL model of a discrete electro
2009-07-23 10:01:2979

ASIC邏輯綜合及Synopsys Design Compi

設(shè)計編譯器(Design Compiler)和設(shè)計分析器(Design Analyzer) Design Compiler(DC) 是Synopsys邏輯綜合工具的命令行接口
2009-11-19 13:32:1657

亞微米IC設(shè)計挑戰(zhàn)

下一代的實體設(shè)計系統(tǒng)IC Compiler,為Synopsys Galaxy Design Platform 2005的核心,其設(shè)計概念就在解決這些浮現(xiàn)的挑戰(zhàn),提供從RTL到芯片的一貫解決方案
2011-04-19 11:14:51970

TSMC擬大幅提升28nm晶元售價

雖然TSMC對于旗下28nm工藝依然保持著較為保守的態(tài)度,但是根據(jù)近期非官方的報道,由于來自官戶的需求不斷提升,TSMC將會對28nm晶元進(jìn)行提價。
2011-09-16 09:30:03955

敦泰科技與TSMC達(dá)成1000萬顆觸控芯片出貨里程碑

敦泰科技與TSMC近日共同宣布,由敦泰科技設(shè)計并委托TSMC生產(chǎn)制造的觸控芯片(Touch-Panel Controller IC)已突破總出貨一千萬顆的里程碑
2011-09-24 01:24:041397

珠海全志科技采用TSMC 55nm“半世代”工藝成功推出A10芯片平臺

  珠海全志科技與TSMC今(26)日共同宣布,成功推出采用TSMC55納米工藝生產(chǎn)的A10系列系統(tǒng)整合芯片(SoC)平臺,藉由搭配珠海全志科技全新的Android 4.0.3 軟件開發(fā)工具包(Software Devel
2012-03-27 08:52:402408

TSMC 28nm產(chǎn)能將優(yōu)先提供NVIDIA使用

  TSMC28nm的產(chǎn)能,目前仍舊無法滿足Qualcomm、AMD以及NVIDIA三家客戶,似乎已經(jīng)是不爭的實施。
2012-05-15 08:37:20652

Mentor的CalibreLFD獲得TSMC的20nm制造工藝認(rèn)證

Mentor Graphics公司日前宣布Calibre LFD(光刻友好設(shè)計)光刻檢查工具已獲得TSMC的20nm IC制造工藝認(rèn)證。 Calibre LFD可對熱點(diǎn)進(jìn)行識別,還可對設(shè)計工藝空間是否充足進(jìn)行檢查。光學(xué)臨近校正法
2012-09-29 10:30:461761

Mentor CalibreLFD獲得TSMC的20nm制造工藝認(rèn)證

電子發(fā)燒友網(wǎng)核心提示 :Mentor Graphics公司日前宣布Calibre LFD(光刻友好設(shè)計)光刻檢查工具已獲得TSMC的20nm IC制造工藝認(rèn)證。 Calibre LFD可對熱點(diǎn)進(jìn)行識別,還可對設(shè)計工藝空間是否充足進(jìn)
2012-10-08 16:00:14915

TSMCSynopsys攜手將定制設(shè)計擴(kuò)展到16納米節(jié)點(diǎn)

FinFET制程的設(shè)計規(guī)則手冊(DRM)第0.5版的認(rèn)證,同時從即刻起可以提供一套TSMC 16-nm可互通制程設(shè)計套件(iPDK)。憑借其對iPDK標(biāo)準(zhǔn)強(qiáng)大的支持,Synopsys的Laker定制解決方案為用戶提供了從180-nm到16-nm的多種TSMC工藝技術(shù)的全面對接。
2013-09-23 14:45:301050

Altera的Arria 10版Quartus II軟件為立即開始20 nm設(shè)計提供支持

2013年12月3號,北京——Altera公司(Nasdaq: ALTR)今天發(fā)布了Arria 10版Quartus II軟件,這是業(yè)界第一款支持20 nm FPGA和SoC的開發(fā)工具?;?b class="flag-6" style="color: red">TSMC
2013-12-03 10:48:471607

Synopsys Galaxy設(shè)計平臺支撐了90%的FinFET設(shè)計量產(chǎn)

美國加利福尼亞州山景城,2015年3月-- 新思科技公司(Synopsys, Inc.,納斯達(dá)克股票市場代碼:SNPS)日前宣布:其 Galaxy? Design Platform 設(shè)計平臺支撐
2015-04-01 16:42:271007

臺積電和Mentor Graphics合作:10nm工藝認(rèn)證!

俄勒岡州威爾遜維爾,2015 年 4 月 6 日—Mentor Graphics公司(納斯達(dá)克代碼:MENT )今天宣布,TSMC和Mentor Graphics已經(jīng)達(dá)到在10nm EDA認(rèn)證合作的第一個里程碑
2015-04-20 14:18:061658

Mentor Graphics獲得TSMC 10nm FinFET工藝技術(shù)認(rèn)證

Mentor Graphics公司(納斯達(dá)克代碼:MENT)今天宣布,Calibre? nmPlatform 已通過TSMC 10nm FinFET V0.9 工藝認(rèn)證。此外,Mentor
2015-09-21 15:37:101300

Cadence工具獲臺積電7納米早期設(shè)計及10納米芯片生產(chǎn)認(rèn)證

2016年3月22日,中國上?!请娮樱绹?Cadence 公司,NASDAQ: CDNS)今日宣布,用于10納米 FinFET工藝的數(shù)字、定制/模擬和簽核工具通過臺積電(TSMC)V1.0設(shè)計參考手冊(DRM)及SPICE認(rèn)證。
2016-03-22 13:54:541026

Mentor Graphics增強(qiáng)對TSMC 7納米工藝設(shè)計開發(fā)和10納米工藝量產(chǎn)的支援

Mentor Graphics公司(納斯達(dá)克代碼:MENT)今天宣布,借由完成 TSMC 10 納米 FinFET V1.0 認(rèn)證,進(jìn)一步增強(qiáng)和優(yōu)化Calibre? 平臺和 Analog
2016-03-24 11:13:19816

新思科技推出全新定制設(shè)計解決方案Custom Compiler

Custom Compiler?將定制設(shè)計任務(wù)時間由數(shù)天縮短至數(shù)小時,消弭了FinFET生產(chǎn)力差距。
2016-04-13 15:23:312571

三星/TSMC/Intel/AMD爭先恐后研發(fā)7nm

2016年半導(dǎo)體的主流工藝是14/16nm FinFET工藝,主要有Intel、TSMC及三星/GlobalFoundries(格羅方德)三大陣營,下一個節(jié)點(diǎn)是10nm,三方都會在明年量產(chǎn),不過
2016-05-30 11:53:53858

曝高通10nm處理器將由三星代工生產(chǎn)

導(dǎo)語:聯(lián)發(fā)科和華為均已確定下一代處理器將采用10nm工藝制程,高通也緊追其后遞交10nm芯片樣品給客戶,據(jù)悉,高通10nm訂單均交給三星代工生產(chǎn)。
2016-07-28 19:00:27680

GF確認(rèn)將直奔7nm工藝 AMD將同步?

2015年以來,英特爾(Intel)、三星、臺積電(TSMC)紛紛發(fā)力16/14nm FinFET工藝,而當(dāng)下芯片廠商正爭相蓄力2017款10nm半導(dǎo)體制造工藝。隨著高通CEO爆料,高通2017
2016-08-19 14:34:10809

臺積電用10nm生產(chǎn)A11 聯(lián)發(fā)科感到絲絲涼意

有分析師透露消息指蘋果的A11處理器基本確定會采用臺積電的10nm工藝生產(chǎn),這意味著臺積電的7nm工藝不會早于明年三季度,必然導(dǎo)致10nm工藝產(chǎn)能非常緊張。這對于寄望多款產(chǎn)品采用臺積電的10nm工藝來增強(qiáng)芯片競爭力的聯(lián)發(fā)科來說顯然是一個非常不好的消息。
2016-12-19 11:10:02498

臺積電用10nm生產(chǎn)A11 聯(lián)發(fā)科又該頭疼了!

有分析師透露消息指蘋果的A11處理器基本確定會采用臺積電的10nm工藝生產(chǎn),這意味著臺積電的7nm工藝不會早于明年三季度,必然導(dǎo)致10nm工藝產(chǎn)能非常緊張。這對于寄望多款產(chǎn)品采用臺積電的10nm工藝來增強(qiáng)芯片競爭力的聯(lián)發(fā)科來說顯然是一個非常不好的消息。
2016-12-20 02:31:11600

臺積電10nm低良率或影響A10x芯片的iPad生產(chǎn)

消息稱,預(yù)計10納米工藝的低良率將導(dǎo)致明年的A10X芯片的iPad平板電腦可能推遲生產(chǎn)。臺積電的10nm芯片主要是由蘋果,海思,聯(lián)發(fā)科操刀,雖然有部分是代工。買方要求2017年第一季度就批量生產(chǎn),但臺積電10納米芯片工藝技術(shù)的良率并不是代工生產(chǎn)公司希望看到的,消息人士說
2016-12-24 09:39:46640

【圖文】ARM、賽靈思首發(fā)TSMC 7nm:2017年初流片,2018年將上市

TSMC、三星不僅要爭搶10nm工藝,再下一代的7nm工藝更為重要,因為10nm節(jié)點(diǎn)被認(rèn)為是低功耗型過渡工藝,7nm才是真正的高性能工藝,意義更重大。現(xiàn)在ARM宣布已將Artisan物理IP內(nèi)核授權(quán)給賽靈思(Xilinx)公司,制造工藝則是TSMC公司的7nm。
2017-01-13 12:57:111581

震撼!Xilinx宣布與TSMC開展7nm工藝合作

企業(yè)賽靈思公司(Xilinx, Inc. (NASDAQ:XLNX))今天宣布其與臺積公司( TSMC)已經(jīng)就7nm工藝和3D IC技術(shù)開展合作,共同打造其下一代All Programmable
2017-02-09 03:48:04198

關(guān)于Xilinx 16nm FinFET FPGA的四大亮點(diǎn)的分析和應(yīng)用

2015年,基于FinFET 工藝的IC產(chǎn)品將大量面市,除了英特爾的X86處理器和一些ASIC處理器外,F(xiàn)PGA也正式步入FinFET 3D晶體管時代,2月23日,羊年大年初五,賽靈思率先發(fā)布基于16nm FinFET 3D晶體管的FPGA新品,再次創(chuàng)下業(yè)界第一,開啟了FinFET FPGA的新時代。
2019-10-06 11:57:003095

淺析TSMCFinFET工藝技術(shù)的Mentor解決方案

Technology (12FFC) 和最新版本 7nm FinFET Plus 工藝的認(rèn)證。Nitro-SoCTM 布局和布線系統(tǒng)也通過了認(rèn)證,可以支持 TSMC 的 12FFC 工藝技術(shù)。
2017-10-11 11:13:422372

什么是FinFET?FinFET的工作原理是什么?

功耗低,面積小的優(yōu)點(diǎn),臺灣積體電路制造股份有限公司(TSMC)等主要半導(dǎo)體代工已經(jīng)開始計劃推出自己的FinFET晶體管[4],為未來的移動處理器等提供更快,更省電的處理器。從2012年起,FinFET已經(jīng)開始向20納米節(jié)點(diǎn)和14納米節(jié)點(diǎn)推進(jìn)。
2018-07-18 13:49:00119524

僅次于10nm工藝,臺積電引入最先進(jìn)16nm工藝,預(yù)計明年5月投產(chǎn)

臺積電南京工廠將會在明年5月提前量產(chǎn)30mm晶圓,據(jù)悉,臺積電會引進(jìn)16nm FinFET制造工藝,僅次于10nm FinFET,并在南京設(shè)立一個設(shè)計服務(wù)中心來吸引客戶訂單。
2017-12-10 09:30:46910

Platform 中的多項工具已通過TSMC最新版5nm FinFET 和 7nm FinFET Plus 工藝的認(rèn)證

TSMC最新版5nm FinFET 和 7nm FinFET Plus 工藝的認(rèn)證。Mentor 同時宣布,已更新了 Calibre nmPlatform 工具,可支持TSMC的晶圓堆疊封裝 (WoW)技術(shù)
2018-05-17 15:19:003391

Synopsys設(shè)計平臺獲得TSMC工藝認(rèn)證_7-nm FinFET Plus工藝技術(shù)

7-nm FinFET Plus工藝的極紫外光刻技術(shù),IC Compiler II 進(jìn)行了專門的優(yōu)化,進(jìn)一步節(jié)省芯片面積。 采用TSMC的Wafer-on-Wafer(WoW)技術(shù),平臺內(nèi)全面支持
2018-05-17 06:59:004461

Synopsys 設(shè)計平臺獲得TSMC最新版且最先進(jìn)的5nm工藝

Synopsys Synopsys近日宣布, Synopsys 設(shè)計平臺獲得TSMC最新版且最先進(jìn)的5nm工藝技術(shù)認(rèn)證,可用于客戶先期設(shè)計。通過與TSMC的早期密切協(xié)作,IC CompilerII
2018-06-01 09:35:003784

三星 SAFE計劃現(xiàn)在已可提供與Synopsys的Lynx設(shè)計系統(tǒng)兼容的經(jīng)認(rèn)證

Synopsys宣布,Synopsys Design Platform已通過全球領(lǐng)先半導(dǎo)體技術(shù)企業(yè)三星電子的工藝認(rèn)證,支持三星代工部門的8nm LPP(低功耗+)工藝。Synopsys Design
2018-06-06 11:00:001441

新思科技攜手IBM,通過DTCO創(chuàng)新加速后FinFET工藝開發(fā)

采用新思科技Sentaurus、Process Explorer、StarRC、SiliconSmart、PrimeTime和IC Compiler II,DTCO方法學(xué)降低了先進(jìn)半導(dǎo)體工藝開發(fā)的成本,并加快了上市速度。
2018-09-21 11:53:527913

新思科技推出基于TSMC 7nm FinFET工藝技術(shù)的汽車級IP

基于7nm工藝技術(shù)的控制器和PHY IP具有豐富的產(chǎn)品組合,包括LPDDR4X、MIPI CSI-2、D-PHY、PCI Express 4.0以及安全I(xiàn)P。 IP解決方案支持TSMC 7nm工藝技術(shù)所需的先進(jìn)汽車設(shè)計規(guī)則,滿足可靠性和15年汽車運(yùn)行要求。
2018-10-18 14:57:216541

新思科技加快下一代設(shè)計 設(shè)計平臺成功獲的TSMC 5nm EUV工藝技術(shù)認(rèn)證

IC Compiler II和Design Compiler Graphical提供了統(tǒng)一流程,實現(xiàn)最低功耗、最佳性能和最優(yōu)面積。 StarRC、PrimeTime和PrimeTime PX支持全流程設(shè)計實現(xiàn)并提供時序和功耗分析的signoff支持。
2018-10-23 14:29:145460

Synopsys推出支持TSMC 7nm工藝技術(shù)

新思科技(Synopsys)推出支持TSMC 7nm FinFET工藝技術(shù)的汽車級DesignWare Controller和PHY IP。DesignWare LPDDR4x、MIPI CSI-2
2018-11-13 16:20:231517

新思科技數(shù)字與定制設(shè)計平臺通過TSMC 5nm EUV工藝技術(shù)認(rèn)證

關(guān)鍵詞:5nm , Compiler , PrimeTime 新思科技(Synopsys)宣布其數(shù)字和定制設(shè)計平臺通過了TSMC最先進(jìn)的5nm EUV工藝技術(shù)認(rèn)證。該認(rèn)證是多年廣泛合作的結(jié)果,旨在
2018-10-27 22:16:01255

新思科技推出下一代Design Compiler,進(jìn)一步強(qiáng)化Synthesis領(lǐng)先地位

關(guān)鍵詞: Design Compiler , Synthesis Design Compiler NXT將運(yùn)行時間縮短2倍,QoR提高5%,并支持5nm及更先進(jìn)的工藝節(jié)點(diǎn) 新思科技(Synopsys
2018-11-14 17:50:01220

瞻博網(wǎng)絡(luò)憑借Fusion技術(shù)的IC Compiler II將ECO周轉(zhuǎn)時間縮短近一半

新思科技近日宣布采用先進(jìn)Fusion技術(shù)的創(chuàng)新型IC Compiler? II布局布線解決方案已在瞻博網(wǎng)絡(luò)(Juniper Networks)部署,為瞻博網(wǎng)絡(luò)實現(xiàn)了更好的功耗和面積結(jié)果。此外,在IC Compiler II布局布線解決方案內(nèi)執(zhí)行時,工程變更指令(ECO)周轉(zhuǎn)時間可縮短40%以上。
2019-06-14 08:42:213057

Synopsys最新旗艦版IC Compiler? II布局布線系統(tǒng)發(fā)布

最新版IC Compiler II通過新一代分布式并行、智能場景管理、高效基礎(chǔ)設(shè)施擴(kuò)展和固有核心引擎算法,提供快2倍的吞吐量
2019-08-13 16:23:532424

ASIC邏輯綜合及Synopsys Design Compiler 的使用資料說明

本文檔的主要內(nèi)容詳細(xì)介紹的是ASIC邏輯綜合及Synopsys Design Compiler 的使用資料說明包括了:1、邏輯綜合基本概念 a) Synopsys綜合工具及相關(guān)工具 b) 邏輯綜合
2019-10-23 08:00:005

三星公布14nm FinFET的1.44億像素傳感器

據(jù)介紹,14nm FinFET工藝使得界面態(tài)密度(Nit)提升40%以上,閃爍噪聲提高64%,數(shù)字邏輯功能芯片功耗降低34%。憑借14nm FinFET先進(jìn)工藝優(yōu)勢,144MP功耗有望降低42%。
2020-01-25 15:40:001317

新思聯(lián)合TSMC實現(xiàn)新一代芯片設(shè)計

(功耗、性能和面積)優(yōu)勢,同時加快產(chǎn)品上市時間 ● 新思科技進(jìn)一步強(qiáng)化關(guān)鍵產(chǎn)品,以支持TSMC N3制造的進(jìn)階要求 新思科技(Synopsys)近日宣布,其數(shù)字和定制設(shè)計平臺已獲得TSMC 3nm制造技術(shù)驗證。此次驗證基于TSMC的最新設(shè)計參考手冊(DRM)和工藝設(shè)計工具包(
2020-10-14 10:47:571764

新思科技與TSMC合作為封裝解決方案提供經(jīng)認(rèn)證的設(shè)計流程

重點(diǎn) ● TSMC認(rèn)證基于新思科技3DIC Compiler統(tǒng)一平臺的CoWoS和InFO設(shè)計流程 ● 3DIC Compiler可提高先進(jìn)封裝設(shè)計生產(chǎn)率 ● 集成Ansys芯片封裝協(xié)同分析解決方案
2020-10-14 11:11:212099

新思科技攜手GF,以Fusion Compiler釋放GF平臺最佳PPA潛能

重點(diǎn) ● 雙方在技術(shù)賦能方面的緊密合作使GLOBALFOUNDRIES 12LP、12LP+ (12nm FinFET) 以及22FDX (22nm FD-SOI) 平臺釋放最佳PPA潛能
2020-10-23 16:17:092050

三星正式宣布3nm成功流片,性能將完勝臺積電

的,目的在于加速為GAA 構(gòu)的生產(chǎn)流程提供高度優(yōu)化的參考方法。而因為三星的3 nm制程采用不同于臺積電或英特爾所采用的 FinFET 的架構(gòu),而是采用 GAA 的結(jié)構(gòu)。在此情況下,三星需要
2021-07-01 15:27:444315

臺積電3nm FinFET工藝

最小 Lg 是溝道柵極控制的函數(shù),例如從具有不受約束的溝道厚度的單柵極平面器件轉(zhuǎn)移到具有 3 個柵極圍繞薄溝道的 FinFET,從而實現(xiàn)更短的 Lg。FinFET 的柵極控制在鰭底部最弱,優(yōu)化至關(guān)重要。
2023-01-04 15:54:511488

IP_數(shù)據(jù)表(I-10):USB2.0 Transceiver for TSMC 28nm HPC+

IP_數(shù)據(jù)表(I-10):USB2.0 Transceiver for TSMC 28nm HPC+
2023-03-16 19:34:540

GTC23 | NVIDIA、ASML、TSMCSynopsys 為新一代芯片制造奠定基礎(chǔ)

推出一項將加速計算引入計算光刻技術(shù)領(lǐng)域的突破性成果。 在當(dāng)前生產(chǎn)工藝接近物理極限的情況下,這項突破使 ASML、TSMCSynopsys 等半導(dǎo)體行業(yè)領(lǐng)導(dǎo)者能夠加快新一代芯片的設(shè)計和制造。 全球
2023-03-23 06:45:02310

IP_數(shù)據(jù)表(I-10):USB2.0 Transceiver for TSMC 28nm HPC+

IP_數(shù)據(jù)表(I-10):USB2.0 Transceiver for TSMC 28nm HPC+
2023-07-06 20:21:030

新思科技3DIC Compiler獲得三星多裸晶芯集成工藝流程的認(rèn)證

Compiler是統(tǒng)一的多裸晶芯片封裝探索、協(xié)同設(shè)計和分析的平臺,已經(jīng)獲得三星多裸晶芯集成工藝流程的認(rèn)證。 全面和可擴(kuò)展的新思科技多裸晶芯片系統(tǒng)能夠?qū)崿F(xiàn)從早期設(shè)計探索到芯片生命周期管理全流程的快速異構(gòu)集成。 新思科技(Synopsys)近日宣布,與三星晶圓廠(以下簡稱為“三星”)深化合作,助
2023-09-14 09:38:28839

臺積電3nm月產(chǎn)能明年將增至10萬片

臺積電推出了世界上第一個3nm智能手機(jī)芯片apple a17 pro,該芯片也用于新款iphone 15 pro。據(jù)悉,tsmc到2023年為止,將只批量生產(chǎn)蘋果的3nm工藝。
2023-09-25 14:25:28616

TSMCSynopsys將在生產(chǎn)中使用NVIDIA計算光刻平臺

NVIDIA 于今日宣布,為加快下一代先進(jìn)半導(dǎo)體芯片的制造速度并克服物理限制,TSMCSynopsys 將在生產(chǎn)中使用 NVIDIA 計算光刻平臺。
2024-03-20 09:52:00100

已全部加載完成